在Altium Designer 9中画图,最常用的贴片电阻电容、电桥、极性电容、电位器、钽电容等的封装是什么型号?

zyyue2022-10-04 11:39:541条回答

已提交,审核后显示!提交回复

共1条回复
个性的名字就是我 共回答了24个问题 | 采纳率87.5%
电阻电容的封装:0603、0805、1206、1210;
1年前

相关推荐

谁知道“Altium Designer ” 两个单词怎么读?用汉字写下读音
插刘亦菲至肛裂1年前3
youxian1974 共回答了22个问题 | 采纳率81.8%
奥提闷 迪在尔
急:Altium Designer中自制集成库中找不到所有的封装
急:Altium Designer中自制集成库中找不到所有的封装
我利用Altium Designer自制了集成库:
JTAGV-DAB.LlbPkg,包含JTAGV-DAB.SchLib,JTAGV-DAB.PCBLib 编译后生成JTAGV-DAB.IntLib并自动安装.我利用Model manager为JTAGV-DAB.SchLib添加了JTAGV-DAB.PCBLib中自己画的封装,但不是一一对应的关系
遇到的情况:后来我单独添加一个footprint到.JTAGV-DAB.PCBLib中,没有让它和JTAGV-DAB.SchLib对应,发现在绘制原理图时为该元件添加该封装居然找不到,百思不得其解.
请会AD的N人给点解答,在下感激不尽!
地方yy省市1年前1
vaiisk0ux 共回答了21个问题 | 采纳率85.7%
集成库的作用就是你在原理图调用时不能改库里面的内容(你在原理图调用集成库schlib,却又在原理图添加集成库pcblib,相当于更改了集成库),所以不可以,你必须打开你的集成库,在集成库里面修改,使之原理图和封装对应,编译保存后再在原理图里面调用schlib.如果你嫌不方便,那就不要用集成库,把两个库分开做.
Altium Designer在pcb图中画圆弧的问题
Altium Designer在pcb图中画圆弧的问题
用“Place Arc by Edge”工具画圆弧.
99SE 和 DXP 2004 画半个圆弧,会用细线自动显示圆形其余的部分以及圆心位置.
Altium Designer Winter用同样的工具画圆弧,怎么不显示圆心的位置了?
不知道是不是没设置好,请问在哪里设置画圆弧的选项的?
如图
左边是99 SE画的半截,
右边是Altium Designer Winter 09画的半截
qtnz1年前1
sentlin1398 共回答了21个问题 | 采纳率90.5%
双击设置圆弧参数就可以了
如果移动,按住不放拖动,坐标就出来了
altium designer 中怎么解决这个难题?
altium designer 中怎么解决这个难题?

图中右下角二维码的效果是怎么做出来的?

我描述下效果:它不是油笔画在top overlay层的,是一块一块的铜裸露在外形成的.为什么它没有被铜覆盖?
我自己画的板

这是在top layer 层的,送工厂加工,肯定会被铜覆盖的,我想要不被铜覆盖啊,怎么弄?
倾听的蜻蜓1年前1
babyzhz 共回答了14个问题 | 采纳率85.7%
放到 TOP SOLDER 层,就可以去绿油,你画标准就产TOP SOLDER,他那个可能是跟厂家说过的,或者你看看他TOP SOLDER层,是不是画有;
希望我的回答 对你有帮助
ALtium designer 中画原理图的时候怎么放入220V交流电源?急! 就是那个220V的怎么弄出来?谢了..求
ALtium designer 中画原理图的时候怎么放入220V交流电源?急! 就是那个220V的怎么弄出来?谢了..求...

DOUJIPING1年前1
matianhai 共回答了13个问题 | 采纳率100%

放置一个Power Port,快捷栏里的接地符号或者VCC电源都可以,按下图设置就好,主要是Style的设置.

用altium designer 画层次图的时候电气检查出现两个问题
用altium designer 画层次图的时候电气检查出现两个问题
1.为什么会unconnected2.连线
2.看图连线应该没问题 没有驱动源是怎么回事


Class Document Source Message Time Date No.
[Warning] cengcitu.SchDoc Compiler Unconnected Sheet Entry MCU-MCUIN(Input) at 510,360 16:41:04 2012-9-7 1
[Warning] cengcitu.SchDoc Compiler Unconnected Sheet Entry MCU-MCUOUT(Output) at 510,440 16:41:04 2012-9-7 2
[Warning] cengcitu.SchDoc Compiler Unconnected Sheet Entry Serial-R2IN(Input) at 750,360 16:41:04 2012-9-7 3
[Warning] cengcitu.SchDoc Compiler Unconnected Sheet Entry Serial-R2OUT(Output) at 610,360 16:41:04 2012-9-7 4
[Warning] cengcitu.SchDoc Compiler Unconnected Sheet Entry Serial-T2IN(Input) at 610,450 16:41:04 2012-9-7 5
[Warning] cengcitu.SchDoc Compiler Unconnected Sheet Entry Serial-T2OUT(Output) at 750,450 16:41:04 2012-9-7 6
[Warning] MCU.SchDoc Compiler Net NetC1_2 has no driving source (Pin C1-2,Pin R3-2,Pin S1-1,Pin U1-4) 16:41:04 2012-9-7 9
[Warning] MCU.SchDoc Compiler Net NetC3_1 has no driving source (Pin C3-1,Pin U1-15,Pin Y1-2) 16:41:04 2012-9-7 10
qiumeng_1111年前1
tqtyd 共回答了20个问题 | 采纳率80%
1.未连接.你有某个芯片的R2in,R2out,T2in,T2out这四个管脚悬空了
2.无驱动源.U1的1号和15号管脚(X1(IN))在元件库中的属性设置成都是驱动的了,而不是被动
另外,你这个图上和这个警告中相关的只有15号(X1(IN))引脚,其他的看不到,所以,还不好确定你的原理图到底是怎么样的
Altium designer画PCB版时LM7805的封装提示Short-Circuit Constraint,用的是
Altium designer画PCB版时LM7805的封装提示Short-Circuit Constraint,用的是TO-220封装


nonogirl1年前1
vjqbdd7su 共回答了19个问题 | 采纳率84.2%
卧式的TO-220封装,焊盘0与周边铺的铜没有网络导致软件报错,可以手动将焊盘与铜箔全部改成同一个网络即可,如GND网络.
Altium designer 10 中封装
Altium designer 10 中封装
有的封装会分 IPC high density、IPC medium density和IPC low density,一个元件怎么判断它是属于哪一种的.
玉祎1年前1
liangliang_520 共回答了22个问题 | 采纳率86.4%
仅仅是针对不同设计密度而言的.密度越高则该元件的占位面积越小.
选取何种封装取决于你线路板的空间冗余度/以及设计者的布线水平,一般建议初学者都按照低密度设计和预留空间.
Altium Designer 09在绘制元器件封装库时如何画任意直线?
Altium Designer 09在绘制元器件封装库时如何画任意直线?
Altium Designer 09在绘制元器件封装库时如何画任意直线,我按Shift+空格键切换时任意两点之间的直线变成了135°有角度的直线,如何让任意两点之间的线段成直线,不要有角度.
KK6801年前0
共回答了个问题 | 采纳率
Altium Designer 10画PCB图,怎样增大走线和覆铜之间的距离?
Altium Designer 10画PCB图,怎样增大走线和覆铜之间的距离?
如下图,在Altium Designer 10中画PCB图,放置了一个“多边形平面”的覆铜,我想知道,怎样增大走线和覆铜之间的空隙,
dafgaWTHrdxth1年前1
kuenking 共回答了17个问题 | 采纳率88.2%
你这样操作下吧.设置下“rules“里的“clearence”就可以了.
”design“--“rules“--”electrical“--“clearence”,右键“clearence”,”new rules“,并修改为”polygon“,将”minimum clearence“修改为30mil(这个就是铜皮和走线的间距).在”where the first object matches“中选择”advanced(Query)“,在”full query“中输入”InPolygon“.
点击”properties“按钮,进入后,将”polygon“的优先级调高于”all“的优先级.
OK即可完成设置,这样你敷铜时则遵循”polygon“规则.布线的时候遵循“all”规则.
ALTIUM DESIGNER 10的PCB元件之间连线是绿色的
ALTIUM DESIGNER 10的PCB元件之间连线是绿色的

大家好,最近在自学AD10,画了个简单的电路图,转化我PCB后元件之间连线是绿色的,查看设计中的规则也看不出问题,知道是规则设置有错误,但具体不知道在哪里,麻烦各位不吝赐教!下面是图片,一张是连接后出现的现象,一种是冲突后高亮情况!


红衣主教Steven1年前1
kaisen545454 共回答了19个问题 | 采纳率89.5%
楼主,此为设计规则报警,此问题我之前已回答过,楼主可以查看本人之前的回答.http://zhidao.baidu.com/question/1668205437071085907.html?oldq=1
Altium Designer 中焊盘大小与孔的大小有没有标准的比例关系?还是可以任意设定?
Altium Designer 中焊盘大小与孔的大小有没有标准的比例关系?还是可以任意设定?
也就是图中左下方的hole size与右上方的X-Size Y-Size之间的关系.
1231311491年前1
我读昏昏 共回答了25个问题 | 采纳率76%
软件中是没有限制的,不过实际设置时要考虑PCB加工厂的加工能力.
例如选取孔径1.0mm、焊盘直径1.1mm,也就是说加工该金属化孔时要留出0.05mm的金属化圈,从技术工艺上来讲是很难实现的!
同时孔径往往也是与所插管脚所匹配的,孔径越大往往也意味着管脚越粗,有可能需要承载更大的元件质量.
一般常见的焊盘大小是孔径的1.3倍(这只是个很笼统的数字,实际中根据特殊情况变化很大),并且焊盘大小比孔径至少大0.4mm(很多加工厂最小只能做0.2mm的金属化圈,再小就得单独加钱了).
我用Altium Designer 画PCB,为什么芯片管脚都报错?
孤独的龙1年前1
朱利亚尼 共回答了17个问题 | 采纳率88.2%
在规则里把安全间距设置小点