编译密码:按规则将电文译成密码“把字母变成其后第四个字母”

短暂雪人2022-10-04 11:39:541条回答

编译密码:按规则将电文译成密码“把字母变成其后第四个字母”
例如:A--E B---F C---G 但但是字母表最后四个字母W X Y Z ,应译成 W--A X---B Y----C Z----D

已提交,审核后显示!提交回复

共1条回复
swbxe 共回答了22个问题 | 采纳率86.4%
凯撒密码:
ASCII 中 A=65 则 A--E 即 65--69 我们可以把A+4 然后按字符输出就是E了
W--A 即 (W+4)-26
87(W)+4-26=65(A) 即可
测试代码:
main()
{
char c1[3]={'a','d','z'};
c1[0]=c1[0]+4;
c1[1]=c1[1]+4;
c1[2]=c1[2]-22;
printf("%c %c %c",c1[0],c1[1],c1[2]);
getch();
}
1年前

相关推荐

由C++源程序文件编译而成的目标文件的默认扩展名为( ).A)cpp B)exe C)obj D)lik
飞天iii1年前1
lt1m 共回答了17个问题 | 采纳率94.1%
C++生成可执行文件的过程可简单分为三步:第一步就是源文件的编写,每二步就是编译,生成的文件为.obj(windows平台)或者.o(linux平台),最后就是链接生成可以执行文件,一般windows下主是.exe文件.而第二步所生成的文件就称为目标文件
如何由文法推导语法树?(编译原理)
如何由文法推导语法树?(编译原理)
给定一个文法规则来推导语法树结果唯一吗?
上海电脑爱好者1年前1
s5mgfbb 共回答了16个问题 | 采纳率87.5%
语法树,是针对上下文无关文法,用来表示一个句型的生成过程的一种描述手段.
对于给定的句型,依据文法构造它的语法树,是语法分析的任务.
编译原理课程中重点学习的各种语法分析方法,都是解决语法树的构造的具体分析方法.
在学习并掌握各种语法分析方法之前,一般只能依据直觉印象,通过猜测、拼凑等手段,去试着推演,凑出符合要求的句型的语法树.所以这个阶段练习用的题目一般也不很复杂,通过多多练习也能找到一些技巧(其实主要是后面将要学习的自顶向下语法分析中的一些原则).
对于给定的文法,有一些句型可能能构建出两棵甚至多棵结构不同的语法树,结果不一定是唯一的.这样的文法就是所谓的二义性文法.
对于非二义性文法而言,任意一个句型的语法树都是唯一的.
编译原理题目6、写出下面语句产生的四元式序列 IF A > B and C > D THEN X=0 ELSE X=1
编译原理题目6、写出下面语句产生的四元式序列 IF A > B and C > D THEN X=0 ELSE X=1
6、写出下面语句产生的四元式序列(10分)
IF A > B and C > D THEN
X=0
ELSE
X=1
游侠︶ㄣ1年前1
**yu民 共回答了11个问题 | 采纳率100%
100:if A>B goto 102
101:goto 106
102:if C> D goto 104
103:goto 106
104:X:=0
105:goto 107
106:X:=1
107:
[编译原理]构造一个正则表达式,它接受S={a,b,c}上符合以下规则的字符串:
[编译原理]构造一个正则表达式,它接受S={a,b,c}上符合以下规则的字符串:
如果以a开头,则串内至少包含一个c;如果以b开头,则串内至多包含一个 a.
求编译原理大神解答>_
nbrickey1年前1
kiss2906631 共回答了15个问题 | 采纳率86.7%
(1)如果以a开头,则串内至少包含一个c ----> 可以写成a(a|b|c)*c(a|b|c)*
(2)如果以b开头,则串内至多包含一个 a ----> 有两种情况,一个是不包含a,可以写成b(b|c)*;另一个是只有一个a,可以写成b(b|c)*a(b|c)* ,结合起来就是b(b|c)* | b(b|c)*a(b|c)*
(3)综合前面(1)和(2),有
a(a|b|c)*c(a|b|c)* | b(b|c)* | b(b|c)*a(b|c)*
在16位编译系统上,若定义int a[]={10,20,30},*p=&a;当执行p++;后下列叙述错误的是() A.
在16位编译系统上,若定义int a[]={10,20,30},*p=&a;当执行p++;后下列叙述错误的是() A. p向高地址移了一个字节
B.p与a+1等价
C.语句printf(“%d”,*p);输出20
D.p指向数组元素a【1】
题目的答案是A
但我觉得这个题目在&a这个地方错了,要不要把&去掉.
酒水大菜单1年前1
czxcr 共回答了19个问题 | 采纳率100%
嗯,你的想法是正确的,应该是int *p=a;或者int *p=&a[0];
程序的编译过程和解释过程是怎样的(高一信息科技)
zhangzxl1261年前1
最后一跟烟 共回答了18个问题 | 采纳率88.9%
【编译程序】:把用高级程序设计语言书写的源程序,翻译成等价的计算机汇编语言或机器语言的目标程序的翻译程序.
【解释程序】:对源程序边解释翻译成机器代码边执行的高级语言程序.
高级语言的程序的执行的途径:
1)源程序(高级语言)->【编译程序】->目标程序(汇编语言)->【汇编程序】->目标程序(机器语言)->计算结果
2)源程序(高级语言)->【编译程序】->目标程序(机器语言)->计算结果
3)源程序(高级语言)->【解释程序】(逐条读出源程序中的语句并解释执行,即在解释程序的执行过程中并不产生目标程序)->计算结果
请多多指教,感激不尽11.C语言程序经过编译以后生成的文件名的后缀为( ).A..c B..obj C..exe D..
请多多指教,感激不尽
11.C语言程序经过编译以后生成的文件名的后缀为( ).
A..c B..obj C..exe D..cpp
12.C语言程序经过链接以后生成的文件名的后缀为( ).
A..c B..obj C..exe D..cpp
13.C语言编译程序的首要工作是( ).
A.检查C语言程序的语法错误 B.检查C语言程序的逻辑错误
C.检查程序的完整性 D.生成目标文件
14.下面四个选项中,均是不合法的用户标识符的选项是( ).
A.A P_0 do B.float la0 _A
C.b–a sizeof int D._123 temp int
15.下面四个选项中,均是合法整型常量的选项是( ).
A.160 –0xffff 011 B.–0xcdf 01a 0xe
C.–01 986,012 0668 D.–0x48a 2e5 0x
16.下面四个选项中,均是不合法的浮点数的选项是( ).
A.160.0.12 e3 B.123 2e4.2 .e5
C.–.18 123e4 0.0 D.–e3 .234 1e3
17.下面四个选项中,均是不合法的转义字符的选项是( ).
A.'"' '\' 'xf ' B.'1011' '' 'ab'
C.' 11' 'f ' '}' D.'abc' '101' 'xlf '
18.下面四个选项中,均是正确的数值常量或字符常量的选项是( ).
A.0.0 0f 8.9e '&' B."a" 3.9e–2.5 1e1 '"'
C.'3' 011 0xff00 0a D.+001 0xabcd 2e2 50.
19.若有说明语句:char c = '72';则变量c( ).
A.包含1个字符 B.包含2个字符
C.包含3个字符 D.说明不合法,c的值不确定
20.若有定义:int a = 7; float x = 2.5,y = 4.7; 则表达式
x + a % 3 * (int)(x + y) % 2 / 4的值是( ).
A.2.500000 B.2.750000 C.3.500000 D.0.000000
21.设变量a是整型,f是实型,i是双精度型,则表达式
10 + 'a' + i * f值的数据类型为( ).
A.int B.float C.double D.不确定
22.在C语言中,要求运算数必须是整型的运算符是( ).
A./ B.++ C.!= D.%
23.若变量已正确定义并赋值,下面符合C语言语法的表达式是( ).
A.a:=b+1 B.a=b=c+2 C.int 18.5%3 D.a=a+7=c+b
24.若变量a、i已正确定义,且i已正确赋值,则合法的语句是( ).
A.a==1 B.++i; C.a=a++=5; D.a=int(i);
25.下面正确的字符常量是( ).
A.”c” B.’\” C.’W’ D.”
26.假设变量a,b均为整型,则表达式 (a=2,b=5,b++,a+b) 的值是( ).
A.7 B.8 C.6 D.2
27.假设变量x,y均为double型,则表达式 x=2,y=x+3/2 的值是( ).
A.3.500000 B.3 C.2.000000 D.3.000000
28.判断char型变量ch是否为大写字母的正确表达式是( ).
A.‘A’
学gg八卦1年前1
蓝茶tea 共回答了25个问题 | 采纳率100%
BCACA BBDAA CDBBC BDCBA 看看行不行
我编译后出现4个错误 #includeusing namespace std;enum CPU_Ran{P1=1,P2,
我编译后出现4个错误
#include
using namespace std;
enum CPU_Ran{P1=1,P2,P3,P4,P5,P6,P7};
class CPU{
public:
x05CPU(int x,CPU_Ran y,double z);
x05~CPU(){
x05x05cout
Ж爱看海1年前1
walker0916 共回答了25个问题 | 采纳率92%
没有那么多错误啦,就只有一处CPU::CPU(int x,CPU_Ran y,double z):frequency(x),ran(y),voltage(z){};
//这一句最后要加个{},因为在类中声明了该构造函数,但是没有实现函数体
//加个{}就有了函数实现,就正确啦
verilog 中编译错误:port must be connected to a structural net exp
verilog 中编译错误:port must be connected to a structural net expression
module counter8(clk,clr,te,le,a,tf);
input clk,te,le,clr;
input [7:0] a;
output [7:0] tf;
reg [7:0] tf,th;
regth u1(le,a,th);
count u2(clk,clr,te,th,tf);
endmodule
module regth(le,a,thout);
input le;
input [7:0] a;
output [7:0] thout;
reg[7:0] thout;
always@(le)
begin
if(le==1) thout
叮当missyou1年前1
宇娘 共回答了20个问题 | 采纳率95%
你的th在counter8中定义为reg类型,而在regth中thout是一个输出信号默认为net类型,所以你在counter8模块中将th传给regth模块的thout就会报这样的错误,模块counter8中th信号只是一个模块之间的连线,所以定义成wire类型应该就可以了.
下面是关于解释程序和编译程序的叙述,正确的是()
下面是关于解释程序和编译程序的叙述,正确的是()
A.编译程序和解释程度均能产生目标程序
B.编译程序和解释程度均不能产生目标程序
C.编译程序能产生程序而解释程序则不能
D.解释程序对源程序的语句是翻译一条执行一条
E.编译程序对源程序的语句是编译一条执行一条
这是道多选题
我觉得有C
也受伤1年前1
foxren 共回答了20个问题 | 采纳率80%
选择C和D.
A、解释程序
所谓解释程序是高级语言翻译程序的一种,它将源语言(如BASIC)书写的源程序作为输入,解释一句后就提交计算机执行一句,并不形成目标程序.就像外语翻译中的“口译”一样,说一句翻一句,不产生全文的翻译文本.这种工作方式非常适合于人通过终端设备与计算机会话,如在终端上打一条命令或语句,解释程序就立即将此语句解释成一条或几条指令并提交硬件立即执行且将执行结果反映到终端,从终端把命令打入后,就能立即得到计算结果.这的确是很方便的,很适合于一些小型机的计算问题.但解释程序执行速度很慢,例如源程序中出现循环,则解释程序也重复地解释并提交执行这一组语句,这就造成很大浪费.
B、编译程序
这是一类很重要的语言处理程序,它把高级语言(如FORTRAN、COBOL、Pascal、C等)源程序作为输入,进行翻译转换,产生出机器语言的目标程序,然后再让计算机去执行这个目标程序,得到计算结果.
编译程序工作时,先分析,后综合,从而得到目标程序.所谓分析,是指词法分析和语法分析;所谓综合是指代码优化,存储分配和代码生成.为了完成这些分析综合任务,编译程序采用对源程序进行多次扫描的办法,每次扫描集中完成一项或几项任务,也有一项任务分散到几次扫描去完成的.下面举一个四遍扫描的例子:第一遍扫描做词法分析;第二遍扫描做语法分析;第三遍扫描做代码优化和存储分配;第四遍扫描做代码生成.
值得一提的是,大多数的编译程序直接产生机器语言的目标代码,形成可执行的目标文件,但也有的编译程序则先产生汇编语言一级的符号代码文件,然后再调用汇编程序进行翻译加工处理,最后产生可执行的机器语言目标文件.
在实际应用中,对于需要经常使用的有大量计算的大型题目,采用招待速度较快的编译型的高级语言较好,虽然编译过程本身较为复杂,但一旦形成目标文件,以后可多次使用.相反,对于小型题目或计算简单不太费机时的题目,则多选用解释型的会话式高级语言,如BASIC,这样可以大大缩短编程及调试的时间.
“全译,节译,改译,编译,译要,综译”怎么翻译成英文啊?
asd88441年前4
冰泽封印 共回答了23个问题 | 采纳率78.3%
1 Full translation2 Abridged translation3 semi-translation -- not true translation, but a kind of translation combined with some creation 4 Edition/Compilation-oriented translation5 译要(什么意思?还...
编译成英语,(看一场有趣的电影).
手的得1年前1
永恒的光辉 共回答了22个问题 | 采纳率100%
have watched an interesting movie.
能不能简单地解释下计算机程序的编译过程?
rsn0ywuwyuw0ng1年前1
寻觅瓦尔登湖 共回答了25个问题 | 采纳率92%
编译就是把高级语言变成计算机可以识别的2进制语言,计算机只认识1和0,编译程序把人们熟悉的语言换成2进制的.
求高等教育出版社的编译原理(陈意云 张昱)的课后习题4.4的答案
求高等教育出版社的编译原理(陈意云 张昱)的课后习题4.4的答案
题目为 下列文法产生由+作用于整常数或实常数的表达式.两个整数想加时,结果是整型,否则是实行.
E—>E+T|T
T—>num.num|num
(a)给出决定每个子表达式类型的语法制导定义.
(b)扩充(a)的语法制导定义,既决定类型,又把表达式翻译成后缀表示.使用一元算符inttoreal把整数变成等价的实数,使得后缀中+的两个对象有同样的类型.
sasababy1年前1
kwqxpy 共回答了19个问题 | 采纳率89.5%
编译原理(第2版)陈意云+张昱编著课后答案
百度文库这里有
你搜一下
在62页
急:vhdl语言编的移位寄存器编译出现错误
急:vhdl语言编的移位寄存器编译出现错误
程序如下:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.cpu_lib.all;
entity shift is
port ( a :in bit16; sel :in t_shift ; y :out bit16);
end shift;
architecture rtl of shift is
begin
shftproc:process(a,sel)
begin
case sel is
when shftpass =>y y y y y y
齐有姜1年前1
toky007 共回答了15个问题 | 采纳率93.3%
你的常量前边都没有定义啊
要在前边加上
CONSTANT sftl : std_logic_vector(2 DOWNTO 0) := "000";
同样 sftr等常量也要如上定义
java基础题4. 在Java中,下列语句不能通过编译的有(bc ) A. String s=“join”+3; B.
java基础题
4. 在Java中,下列语句不能通过编译的有(bc )

A. String s=“join”+3;

B. int a=“join”+3;

C. int a=‘a’+5;

D. float f=5+5.5;

是bc吗,说明原因啊
huan09281年前0
共回答了个问题 | 采纳率
请问编译原理中a(a|b)*a和aa*b*a有什么区别,
自怜自爱1年前1
gzhtcmliyaya 共回答了14个问题 | 采纳率85.7%
涉及到一个优先序问题,前一个正则式接受两个a之间有0长度或任意长度的{a,b}字符串序列,而后一个正则式则只允许两个a之间有的{a,b}序列必须满足:如果a、b同时存在,则a必须在b前面,也就是只接受左右两端全是a,只有中间是b这种夹心饼干的形式.举个栗子你就明白了:
a(a|b)*a可以接受的输入序列有:aa aaa aba abba aaba abaa
aa*b*a 可以接受的输入序列有:aa aaa aaba aba aaabba 不能接受abaa
软件设计师 编译原理 文法的一道题,
软件设计师 编译原理 文法的一道题,
给定文法G[S]及其非终结符A,FIRST[A]定义为:从A出发能推导出的终结符号的集合(S是起始符号,为非终结符).对于文法G[S]:
S->[L]|a
L->L,S|S
其中,G[S]包含的四个非终结符号分别为:
a ,[ ]
则,FIRST的成员包括:( )
A.a B.a、[ C.a、[和] D.a、[、]和,
请不要只告诉我FIRST(S)={[ ,a}
FIRST(L)=FIRST(S)={[ ,a},所以选B
我想 知道怎么来的 ,为什么不是选D,明明都可以推出来的啊
yuxue_benben1年前1
235111 共回答了19个问题 | 采纳率78.9%
我想first[A] 不应该像你说的那样定义:从A出发能推导出的终结符号的集合中.这样这道题考得就没有什么意义了:只要知道非终结符L都能推出哪些终结符就可以了.如果是要考查对first的理解的话,应该按照first的本意,即“第一个终结符”,这样就只有B是正确的
编译原理中的“程序的结构通常是由递归规则表示的”是什么意思
编译原理中的“程序的结构通常是由递归规则表示的”是什么意思
原句是:
程序的结构通常是由递归规则表示的,例如,我们可以用下面的规则来定义表达式:
(1)任何标识符是表达式.
(2)任何常数(整常数、实常数)是表达式.
(3)若表达式1和表达式2都是表达式,那么:
表达式1+表达式2 表达式1* 表达式2
(表达式1)
都是表达式
不太懂什么是递归表示
是不是说一个表达式和另一个表达式在一起还是一个表达式嗯呢?
可是这样只符合(3)啊,(1)和(2)又说明了什么呢?
vvfirst1年前1
日子一天天的过 共回答了26个问题 | 采纳率100%
递归都要包含两个内容,第一是初值或者初始条件的选择,第二才是递归关系的表达,也就是递推表达式.如果(1)和(2)没有,递归定义是不完整的.
你肯定学过fibonacci数列以及用递归程序编写fibonacci数列,还有阶乘的例子.如果不定义当n=1时,函数返回值是多少,那么函数递归会进入死循环.这里定义n=1的返回值,和上述的(1)和(2)是一个道理.
一、判断题(每题1分,共10分) 1. C语言源程序文件通过了编译、连接之后,生成一个后缀为.com的文件。 2
一、判断题(每题1分,共10分) 1. C语言源程序文件通过了编译、连接之后,生成一个后缀为.com的文件。 2
2. 在C程序中,_a是错误的变量名称。
cbd7211081年前1
aiqun2002 共回答了17个问题 | 采纳率76.5%
1.错 是生成.exe的可执行文件
2.对 C语言标识符只能由字母、数字或下划线组成,第一个字符必须是字母或下划线
判断 编译原理假如一个文法G是无二义性的.那么一个句型(句子)的推导,不管最左还是最右推导,所对应的语法树,都是一样吗?
803440841年前1
蝶衣舞影 共回答了16个问题 | 采纳率100%
变量存储每次弹出的栈顶元素
编译原理:已知语言L(G)={ab"c |n≥1} 试对该语言构造相应方法.
编译原理:已知语言L(G)={ab"c |n≥1} 试对该语言构造相应方法.
麻烦懂的加我一下:839157867 且听风吟
中国银行常沉卡1年前1
vickisun 共回答了16个问题 | 采纳率87.5%
下面是生成该语言的正规文法:
G[S]:
S->aB
B->bB|bC
C->c
Java改错 javabean包有错误,改完能编译来,不懂装懂永是饭桶,勿喷!
Java改错 javabean包有错误,改完能编译来,不懂装懂永是饭桶,勿喷!
package chap7.text;
import javax.servlet.http.*;
import java.util.Vector;
import java.util.Enumeration;
public class ShoppingCar
{
Vector v=new Vector();
String submit=null;
String item=null;
public void AddItem(String name)
{
v.addElement(name);
}
public void RemoveItem(String name)
{
v.removeElement(name);
}
public void setSubmit(String name)
{
item=name;
}
public void setSubmit(String s)
{
submit=s;
}
public String[ ] getItem()
{
String[ ] s=new String[v.size() ];
v.copyInto(s);
return s;
}
public void reset()
{
submit=null;
item=null;
}
}
аpрletreebird1年前1
秋凉的风 共回答了16个问题 | 采纳率87.5%
public void setSubmit(String name)
{
item=name;
}
public void setSubmit(String s)
{
submit=s;
}
这两个方法名一样了,并且传入的数据类型也一样.
要么改名,要么改参数.
想用这个办法开算术平方根,但是没法通过编译,大家帮我看看.
想用这个办法开算术平方根,但是没法通过编译,大家帮我看看.
虽然有写好的库函数sqrt () 但是我想自己写一个,小小练习一下,不知到哪里错了,
#include
long double sqrten(long double x);
long ten(long n);
long ten(long n)
{
long x=1,count=0;
while(1)
{
x=10*x;
count=count+1;
if(count>=n)
break;
}
return x;
}
long double sqrten(long double x)
{
long double y=0,z=1;
long n;
lab:
if (y*y
禾宁1年前1
java狂 共回答了18个问题 | 采纳率94.4%
#include
double kaifang(double x);
main()
{
double x, y;
printf("请输入一个正数n");
scanf("%lf",&x);
y=kaifang(x);
printf("%lfn",y);

}
double kaifang(double x)/*采用二分法*/
{
double y,a,b,c=1;
a=0;b=x;
while(c)
{
y=(a+b)/2.0;
if((y*y-x)*(a*a-x)
word的主要功能是什么?a:文档的编辑排版b:文档的编译c:文档的校对d:文档的输入输出
monoatlas1年前1
雨之溱溱 共回答了17个问题 | 采纳率100%
文档的编辑排版
编译原理 证明下面文法:S->Aa|bAc|Bc|bBa ; A->d;是LALR(1)但不是SLR(1)的,
编译原理 证明下面文法:S->Aa|bAc|Bc|bBa ; A->d;是LALR(1)但不是SLR(1)的,
自己把问题解决了,分就送给一楼了~
闲人随想1年前1
聪仔_ww 共回答了19个问题 | 采纳率89.5%
这个可能篇幅过长,QQ上说吧,137824675
编译原理LR(1)中的R和1分别是什么意思
fangfang10061年前1
我是归程 共回答了19个问题 | 采纳率94.7%
LR分析法是一种自下而上进行规范归约的语法分析法,L指从左到右扫描输入符号串,R是指构造最右推导的逆过程.LR(1)中的1是每次搜索符号需要向前参考一步,即参考下一个符号确定当前构造.
keil编译是显示Target not created,这是怎么回事?我的程序如下:
keil编译是显示Target not created,这是怎么回事?我的程序如下:
#include
#define uint unsigned int
#define uchar unsigned char
sbit L2=P1^0;
void delay();
void main()
{
while(1)
{
L2=0;
delay();
L2=1;
delay();
}
}
void delay()
{
uint x,y;
for(x=100;x>0;x--)
for(y=600;y>0;y--);
}
编译后显示如下:
Build target 'Target 1'
compiling lesson1-2.c...
compiling lesson1-3.c...
linking...
*** ERROR L104: MULTIPLE PUBLIC DEFINITIONS
SYMBOL: MAIN
MODULE: lesson1-3.obj (LESSON1_3)
*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS
SEGMENT: ?PR?MAIN?LESSON1_3
Program Size: data=9.0 xdata=0 code=88
Target not created
这是怎么回事?高手帮忙啊!
陈_晓_兰1年前1
jldream00 共回答了19个问题 | 采纳率94.7%
怎么有2个C文件?关掉一个.
小弟刚学VHDL语言,请问 (A+B)’*C 也就是A拔乘C加上B拔乘C 用VHDL语言编译,
小弟刚学VHDL语言,请问 (A+B)’*C 也就是A拔乘C加上B拔乘C 用VHDL语言编译,
Library ieee;
Use iee.std_logic_1164.ALL;
Entity TEST is
Port (
A,B,C :in std_logic;
M,N,Y :out std_logic);
End TEST;
Architecture LOGIC_FUNCTION of TEST is
Begin
M
夏冰54161年前1
三不ii 共回答了23个问题 | 采纳率91.3%
错了,你的M,N是输出端口,在VHDL中不能被用来赋值,你可以用信号来代替M,N!
不过你的逻辑是没有错,只是对VHDL了解还不够深,多多加油啊!
女天使的玫瑰(郭委鑫 编译)1.请你概括这篇文章的主要内容.2.结合全文说说,那个金发妇为什么要送我‘三朵漂亮的长茎玫瑰
女天使的玫瑰(郭委鑫 编译)1.请你概括这篇文章的主要内容.2.结合全文说说,那个金发妇为什么要送我‘三朵漂亮的长茎玫瑰’?3.‘突然间,我明白了,我不是孤单的.’为什么说‘我不是孤单的.’4.本文选自读者,如果是你向读者推荐这篇文章,请写下你
的推荐理由(是问题4后面的) ) 这篇文章的名字叫‘天使的玫瑰’
kimthomas1年前1
bapekaws 共回答了18个问题 | 采纳率88.9%
1、此文主要叙述了:
我在丈夫逝世后八天,去到过去两人常去的杂货店购物,触景生情,种种感伤,但最后,我收到一位素不相识金发妇女送来的三朵漂亮长茎玫瑰,那是已逝丈夫给我的惯常礼物.由此,我相信,丈夫“一直在我身边,她是他派来的天使”.
2、因为要安抚我的悲伤,同时感谢我对她善意的提醒,即:劝她为丈夫买食品.所以,那个金发妇要送我“三朵漂亮的长茎玫瑰”.
3、因为在这个世界上,仍然有丈夫派遣的“天使”对我表示关爱.所以,说“我不是孤单的”.
4、理由:虽然乍看此文平淡无奇,但其中有引人遐思的伏笔.你会认同“我”的最后结论吗?丈夫是否真的没死?那就需要读者——你去用心体味了.
北大POJ 1005 一直编译错误!
北大POJ 1005 一直编译错误!
代码如下:(在本机VS2010运行正常,交上去就编译错误:
Memory:N/A Time:N/A
Language:C++ Result:Compile Error

#include
using namespace std;
int main()
{
double PI=3.14159265;
int n;
cin>>n;
for(int i=1;i>a>>b;
double total=PI*(a*a+b*b)/2;
int year=0;
while(total > 0)
{
total-=50;
++year;
}
cout
J-W-Q1年前1
xxp0829 共回答了21个问题 | 采纳率95.2%
你的代码中间有一些空格不被系统识别
我已经帮你转换好了
你复制我的代码就可以了
里面有个小错误,见注释
已经AC.
#include
using namespace std;
int main()
{
double PI=3.14159265;
int n;
cin>>n;
for(int i=1;i>a>>b;
double total=PI*(a*a+b*b)/2;
int year=0;
while(total > 0)
{
total-=50;
++year;
}
cout
编译原理的两个题~~非常感谢~~~
编译原理的两个题~~非常感谢~~~
已知文法G[E]:EàET+|T, TàTF*|F, FàFP-|P, Pà(E)|i.现有句型TF*PP-+,请问:
1) 画出该句型对应的语法树;
2. 已知文法G[S]:Sà0A, Aà0B|1C, Bà0S|1C, Cà1|1D, Dà1B|0S,
1) 构造相应的状态转换图;
2) 指出它能接受的最短输入串;
3) 任意列出它能接受的2个输入串;
4) 任意列出它会拒绝的2个输入串.
暧吣1年前0
共回答了个问题 | 采纳率
编译原理(龙书)第四章的一道题所有由0和1组成的形如xy的串的集合,其中x不等于y,且x与y等长.为这个语言设计文法.
mmp_pig1年前1
光荣的let 共回答了17个问题 | 采纳率94.1%
如下:
E->0 E 1
E->ε
a+b用C怎么写?Sample Input 1 5 Sample Output 6补充用GCC编译:gcc 1.c -o
a+b用C怎么写?
Sample Input
1 5
Sample Output
6
补充用GCC编译:gcc 1.c -o foo -ansi -fno-asm -O2 -Wall -lm --static -DONLINE_JUDGE
G:Program Filesgccbin>gcc.exe G:1.c -o foo -ansi -fno-asm -O2 -Wall -lm --st
atic -DONLINE_JUDGE
G:1.c:In function 'main':
G:1.c:6:warning:control reaches end of non-void function
2楼的就是这样
G:1.c:In function 'main':
G:1.c:5:error:stray '243' in program
G:1.c:5:error:stray '241' in program
G:1.c:5:error:lvalue required as left operand of assignment
3楼的
G:1.c:3:warning:return type of 'main' is not 'int'
4楼
血色忧郁1年前1
nonoo1316 共回答了20个问题 | 采纳率85%
#include
int main(){
int a,b;
while(scanf("%d%d",&a,&b)==2) /* 多组数据测试 */
printf("%dn",a + b);
return 0;
}
一些竞赛要求能多组数据测试
//我在本机上编译 连接 运行都完全没问题,为什么一提交AC就说结果错
//我在本机上编译 连接 运行都完全没问题,为什么一提交AC就说结果错
#include "stdafx.h"
#include
#include
using namespace std;
const int numlen=999;
struct bN
{
char num1[numlen];
char num2[numlen];
char result[numlen];
};
struct bN bigNum[20]={0};
void main()
{
int T=0,n1_len=0,n2_len=0,loop_len=0;
int carry=0;
char num_a[numlen]={0},num_b[numlen]={0};
char cr[numlen]={0};
cin>>T;
if(T20) exit(0);
for(int i=0;i>bigNum[i].num1>>bigNum[i].num2;
for(int j=0;j n2_len n1_len :n2_len;
for(int k=0 ; k < loop_len ; k++)
{
if(loop_len==n1_len && k>=n2_len)
{
bigNum[j].result[k] = (int)num_a[k] + carry;
}
else if(loop_len==n2_len && k>=n1_len)
{
bigNum[j].result[k] = (int)num_b[k] + carry;
}
else
{
bigNum[j].result[k] = (int)num_a[k] + (int)num_b[k] + carry - '0';
}
if(bigNum[j].result[k] > '9')
{
carry = (bigNum[j].result[k]-'0')/10;
bigNum[j].result[k] -= 10;
if(k==loop_len-1 && carry!=0)
{
bigNum[j].result[k+1]=carry+'0';
carry=0;
}
}
else
{
carry=0;
}
}
memset(num_a,0,sizeof(num_a));
memset(num_b,0,sizeof(num_b));
}
for(int p=0 ; p
toleme1年前1
060401 共回答了12个问题 | 采纳率83.3%
您的问题属于c语言,请去c语言版面
请教几个有关编译原理的习题!一、试设计下列语言的文法.(1) {an︱n >=0 } (2) { anbm︱n,m>=1
请教几个有关编译原理的习题!
一、试设计下列语言的文法.
(1) {an︱n >=0 }
(2) { anbm︱n,m>=1 }
二、试证明下列文法是二义性的.
G[S]:S→Ac︱aB
A→ab
B→bc
三、已知文法G[S]如下,试给出句型E+T*F的所有短语、直接短语和句柄.
G[E]:E→T︱E+T︱E-T
T→F︱T*F︱T/F
F→(E)︱i
candidates1年前1
jy0712 共回答了18个问题 | 采纳率94.4%
答:

1. S -> aS | ε
2. S -> aS | Sb | ab

设 有字符串序列 abc, 而字符串 abc 符合是文法S.
abc 有两种推导 ① S -> Ac, A -> bc
② S -> aB, B -> bc
有两语法树,二义文法

不好意思忘记了短语、直接短语和句柄
课本上应该有
编译原理的题目一个文法G=(N,T,P,S),其中N是非终结符号的集合,T是终结符号的集合,P是产生式的集合,S是开始符
编译原理的题目
一个文法G=(N,T,P,S),其中N是非终结符号的集合,T是终结符号的集合,P是产生式的集合,S是开始符号,令集合V=N并上T,那么G所描述的语言是_____的集合.
A.由S推到出的所有符号串
B 由S推到出的所有终结符号串
C V中所有符号组成的符号串
D V的闭包中的所有符号串
为什么呀
kk霜白1年前2
emc8848 共回答了20个问题 | 采纳率85%
B
计算概论可选的题目有哪些?计算概论大作业,只求比较优秀的选题,越新颖越好,算法我会自己想的.(用raptor编译)1、面
计算概论可选的题目有哪些?
计算概论大作业,只求比较优秀的选题,越新颖越好,算法我会自己想的.(用raptor编译)
1、面向问题的算法文献
包括数论、博弈和图论三大部分
2、面向算法的文献合集
包括蒙特卡洛算法、动态规划等
3、参考文献
例:使用霍纳算法解决计算一元5次多项式的问题
9宫格数独
使用“动态规划”及“二维数组”解决金币阵列问题
23430001年前1
小旋风2599 共回答了13个问题 | 采纳率76.9%
我只是个游戏男
汇编语言编译时提示out of range错误
汇编语言编译时提示out of range错误
DATA SEGMENT
BUF1 DW 5 DUP(?)
BUF2 DW 5 DUP(?)
MYDATA DB 1,2,20,4,5,3,4,40,5,20,5,6,60,6,20,1,2,20,4,20,2,3,10,5,15
DATA ENDS
STACK SEGMENT STACK
DW 5 DUP(?)
STACK ENDS
CODE SEGMENT
ASSUME CS:CODE,DS:DATA,SS:STACK
START:MOV AX,DATA
MOV DS,AX
MOV AX,STACK
MOV SS,AX
LEA SI,BUF1
LEA DI,BUF2
LEA BX,MYDATA
MOV CX,5
AGAIN:XOR AX,AX
MOV AL,[BX]
INC BX
MOV DL,[BX]
INC BX
IMUL DL
PUSH AX
MOV AL,[BX]
INC BX
CBW
MOV DL,[BX]
INC BX
IDIV DL
CBW
PUSH AX
MOV AL,[BX]
INC BX
CBW
XOR DX,DX
SUB DX,AX
POP AX
ADD DX,AX
POP AX
ADD DX,AX
JS IFNE
MOV [SI],DX
MOV AX,[SI]
MOV DL,10
IDIV DL
MOV DL,AL
ADD DL,30H
MOV AH,02H
INT 21H
MOV AX,[SI]
MOV DL,10
IDIV DL
MOV DL,AH
ADD DL,30H
MOV AH,02H
INT 21H
JMP OVER
IFNE:MOV [DI],DX
MOV DL,'-'
MOV AH,02H
INT 21H
MOV AX,[DI]
XOR AX,0FFH
INC AX
MOV DL,10
IDIV DL
MOV DL,AL
ADD DL,30H
MOV AH,02H
INT 21H
MOV AX,[DI]
XOR AX,0FFH
INC AX
MOV DL,10
IDIV DL
MOV DL,AH
ADD DL,30H
MOV AH,02H
INT 21H
OVER:MOV DL,0AH
MOV AH,02H
INT 21H
MOV DL,0DH
MOV AH,02H
INT 21H
INC SI
INC DI
LOOP AGAIN
MOV AH,4CH
INT 21H
CODE ENDS
END START
这是我写的代码,编译时提示out of range by 7 bytes错误,提示错误发生在倒数第五行,就是LOOP错误,什么原因,关键是有什么办法修改吗,
毛球球881年前1
cancer123456 共回答了16个问题 | 采纳率87.5%
你看书上的指令系统里面是这么介绍的,LOOP rel,这个rel是8位补码数表示的偏移地址,8位补码数的表数范围为-128~127,即LOOP指令的目的地址应该在 当前地址-128~当前地址+127 之间,也就是说LOOP指令可以往前跳128个字节或是往后跳127个字节.
很明显,你的目的地址AGAIN在LOOP之前,而且这个距离超过了128个字节,这个字节数是指的指令编译后生成的二进制代码的长度,比如说XOR AX,AX占1个字节,INC BX占一个字节,MOV DL,10占两个字节,具体的你自己推算.
那么碰到这样子的情况要怎么处理?一是优化程序,精简指令代码,看你的错误提示是多超出了7个字节,应该是可以想办法减少的.另外就是中转了,用LOOP跳不过去,那我可以先用LOOP跳到一个较近的地方,在用JMP指令跳转,JMP指令的跳转范围是64K,毫无压力的,程序后几行改成如下试试:
INC SI
INC DI
LOOP AGAIN11
MOV AH,4CH
INT 21H
AGAIN11:JMP AGAIN
CODE ENDS
END START
12.编译程序和解释程序同属于语言处理程序,下列关于它们的叙述中正确的是____________ A.只有解释程序
12.编译程序和解释程序同属于语言处理程序,下列关于它们的叙述中正确的是____________ A.只有解释程序
12.编译程序和解释程序同属于语言处理程序,下列关于它们的叙述中正确的是____________
A.只有解释程序产生目标程序
B.只有编译程序产生目标程序
C.两者均产生目标程序
D.两者均不产生目标程序
畅行网1年前1
不想当kk 共回答了18个问题 | 采纳率88.9%
呗 给你详细解释一下
A、解释程序所谓解释程序是高级语言翻译程序的一种,它将源语言(如BASIC)书写的源程序作为输入,解释一句后就提交计算机执行一句,并不形成目标程序.就像外语翻译中的“口译”一样,说一句翻一句,不产生全文的翻译文本.这种工作方式非常适合于人通过终端设备与计算机会话,如在终端上打一条命令或语句,解释程序就立即将此语句解释成一条或几条指令并提交硬件立即执行且将执行结果反映到终端,从终端把命令打入后,就能立即得到计算结果.这的确是很方便的,很适合于一些小型机的计算问题.但解释程序执行速度很慢,例如源程序中出现循环,则解释程序也重复地解释并提交执行这一组语句,这就造成很大浪费.B、编译程序这是一类很重要的语言处理程序,它把高级语言(如FORTRAN、COBOL、Pascal、C等)源程序作为输入,进行翻译转换,产生出机器语言的目标程序,然后再让计算机去执行这个目标程序,得到计算结果.编译程序工作时,先分析,后综合,从而得到目标程序.所谓分析,是指词法分析和语法分析;所谓综合是指代码优化,存储分配和代码生成.为了完成这些分析综合任务,编译程序采用对源程序进行多次扫描的办法,每次扫描集中完成一项或几项任务,也有一项任务分散到几次扫描去完成的.下面举一个四遍扫描的例子:第一遍扫描做词法分析;第二遍扫描做语法分析;第三遍扫描做代码优化和存储分配;第四遍扫描做代码生成.值得一提的是,大多数的编译程序直接产生机器语言的目标代码,形成可执行的目标文件,但也有的编译程序则先产生汇编语言一级的符号代码文件,然后再调用汇编程序进行翻译加工处理,最后产生可执行的机器语言目标文件.在实际应用中,对于需要经常使用的有大量计算的大型题目,采用招待速度较快的编译型的高级语言较好,虽然编译过程本身较为复杂,但一旦形成目标文件,以后可多次使用.相反,对于小型题目或计算简单不太费机时的题目,则多选用解释型的会话式高级语言,如BASIC,这样可以大大缩短编程及调试的时间.
编译原理题,文法G[T]:T→aR,R→Tb|d生成的语言是什么?G[T]是否为3型文法.
小小的花生1年前0
共回答了个问题 | 采纳率
编译原理实验做一个词法分析程序,是要做什么?求简单易懂的说明!~
东门扫地1年前1
遥香凝囡 共回答了12个问题 | 采纳率100%
1)定义 所有token或者叫单词的有限自动机.
2)将有限自动机用代码实现.
3)写分析程序,利用你定义的有限自动机来识别所有的“单词”.并将识别出来的单词的相关信息,如名称,位置,类别等记录在相关的数据结构中.
编译原理的作业,求助啊,各位大哥
编译原理的作业,求助啊,各位大哥
一、选择题(从备选项中选出一个或多个正确答案)。
1. 编译程序中语法分析器接受以 为单位的输入。
A. 表达式
B. 单词
C. 语句
D. 词组
2. 在编译程序的常用的语法分析方法中,预测语法分析法属于 的分析方法。
A. 自左向右
B. 自顶而下
C. 自右向左
D. 自底向上
3. 在编译程序的常用的语法分析方法中,预测语法分析法和递归子程序法要求描述高级语言的文法必须是 。
A. LL(1)文法
B. 递归文法
C. 正规文法
D. 二义性文法
4. 通过 的等价变换,可能将某些非LL(1)文法转换成LL(1)文法。
A. 提取左公因子
B. 提取右公因子
C. 消除左递归
D. 消除右递归
5. 设文法G[S]如下,则FIRST(T)= 。
G[S]:S→a∣∧∣(T)
T→TbS∣S
A. {S,T}
B. {a,∧,(,),b}
C. {a,∧,(}
D. {a,∧,) }
6. 设文法G[S]如下,则LAST(T)= 。
G[S]:S→a∣∧∣(T)
T→TbS∣S
A. {S,T}
B. {a,∧,(,),b}
C. {a,∧,(}
D. {a,∧,) }
7. 如果文法含有 的规则,则该文法一定不是LL(1)文法。
A. 左公因子
B. 右公因子
C. 左递归
D. 右递归

二、判断题(对于下列陈述中正确的说法选择回答“对”,否则选择回答“错”)。
1. 如果文法是LL(1)文法,则该文法是无二义性的。
2. 如果文法不是LL(1)文法,则该文法采用“提取左公因子”和“消除左递归”的等价变换后得到的文法一定是LL(1)文法。
3. 在编译程序的常用的语法分析方法中,预测语法分析法的分析算法是通用的。
hudanll1年前1
zhangchao_0320 共回答了14个问题 | 采纳率85.7%
1-7 D B A C C D A
对错题是:对 错 对
C++白痴问题 求仔细解释(1) 编译时会出错的是? A. char a='aa'; B. char a='\x2d'(
C++白痴问题 求仔细解释
(1) 编译时会出错的是? A. char a='aa'; B. char a='x2d'
(2)s是字符型变量,下列赋值不正确的是? A. s=' 12'; B. s='u+v'; C. s=1+2
3241486711年前1
kevin200827 共回答了17个问题 | 采纳率70.6%
(1) 选A,char只能赋值一个字符,'aa'不是一个字符,'x2d' 是一个ASCII为0x2d的字符
(2) 选B,同样 'u+v' 不是一个字符,' 12' 是一个ASCII为12(八进制)的字符,1+2是一个ASCII码,赋值给s是对的
阅读文段,回答问题。 一顿午餐 郭丽佳编译   我收到了婶婶的信:“亲爱的孩子,我将专下周四抵达你那里, 如果到时你能邀
阅读文段,回答问题。
一顿午餐
郭丽佳编译
  我收到了婶婶的信:“亲爱的孩子,我将专下周四抵达你那里, 如果到时你能邀请我共进午餐,我将不胜感激 。你的婶婶:诺拉。”自从我母亲得病以来,婶婶诺拉一直照料我的生活。
  当时我在大学里每月只靠父亲给的5英镑维持生活,接到婶婶的来信时,我只剩下20先令了。但是无论如何我不能拒绝她的要求!
  我知道一个比较好的餐馆,那里一顿午餐每位只需3个先令,那样的话,我还可以有14先令维持到月末。
  当我带她到那家小餐馆门前时,她指着街对面的“亲属大厦”说:“我们为什么不去那儿?那儿也许更好一些。”
  “嗅,的确挺好——如果你喜欢的话。”我说。我不能说:“亲爱的婶婶,我实在没有足够的钱请你去.亲属大厦,用餐,那儿的价格远远超出了我的承受能力!”
  侍者递上一份菜单。“噢,”婶婶说,“我们可以要一盘这个。”这是一道法式鸡块,是菜单上最贵的一道菜——7先令。我为自己点了一道1先令的小菜,因为我希望能留下点儿钱维持生活。现在大约用去了8先令,不!——应当是9先令,因为我还将付给侍者1先令的小费。
  “这位女士还需要点儿什么吗?”侍者说,“我们有上好的鱼子酱。”
  “鱼子酱!”诺拉婶婶忍不住喊道,“太妙了,我能要一礤鱼子酱吗?”
  我不能对她说:“不,您不能,因为那会让我只剩下5先令维持到这个月末的。”我没出声,所以她要了一大碟鱼子酱——外加一杯葡萄酒。现在我只剩下5先令了。4先令可以买到足够的面包和干酪,熬过这个礼拜。但是她吃完了鸡块,又看见侍者手里拿着几块奶油蛋糕。
  “噢!”她说,“这些蛋糕看上去可爱极了,我只要一小块!”
  于是,我仅剩下3个先令了,然而侍者又报上了一些水果——她肯定还会要一些。最后,经过如此丰盛的午餐,我们是一定得要两杯咖啡的,那么我将一无所有,甚至连付给侍者的小费也没有了!
  侍者递上清单:20先令。我把仅有的20先令放在盘子里,没有小费付给侍者。
  诺拉婶婶看看盘子里的钱,又看看我。“这是你所有的钱了吧?”她问。
  “是的,婶婶。”
  “你将你所有的生活费都花在了这顿午餐上是吗?你太 善良 了——但这实在很 愚蠢 。”
  “不,婶婶。”
  “那么,这么多的语言中哪个单词最难讲?”
  “我不知道,婶婶。”
  “‘不’,当你长成了一个大人,有时候你不得不对别人说‘不’,甚至对一个女士。我早看出你没有足够的钱来应付这顿午餐,但是我还是想给你上这生动的一课一——所以我点了最贵的一道菜,并且偷偷地看了你的眼色——可怜的孩子!”她付了账,并且将5英镑作为礼物送给了我。
  “噢,上帝!”她说,“这顿午餐几乎饱煞了你可怜的婶婶!我平时的午餐仅仅是一小杯牛奶而已。”
1.文中说“但是无论如何我不能拒绝她的要求”,“我不能说”,“我不能对她说”,这里三次强调“我不能”,其原因是什么?请用原文中的一句话来回答。
_________________________________________________________
2.比较下面两个句子的表达效果,说说原文为什么用A句不用B句。
A.如果到时你能邀请我共进午餐,我将不胜感激。
B.到时你可要邀请我共进午餐,我将不胜感激。
_________________________________________________________
3.诺拉婶婶说“我”“太善良了——但这实在很愚蠢。”我”的“善良”表现在哪里?为什么诺拉婶婶又说“我”的这种“善良”实在很愚蠢”?请简要概括回答。
_________________________________________________________
4.你同意诺拉婶婶说“我”实在很愚蠢”吗?请简要谈谈你的看法?
_________________________________________________________
5.俗话说,“眼睛是心灵的窗户”。当诺拉婶婶点了菜单上最贵的一道菜时,偷偷地看了“我”的眼色,“我”的眼色一定表露了当时心理活动的状态。试设想一下“我”当时想了些什么,请用40字左右将它描绘出来。
_________________________________________________________
6.诺拉婶婶借与“我”共进午餐一事,告诉了我们一个什么道理?除了这个道理外,读了这篇课文,你还有哪些体会(可以是内容上的,也可以是写作特色上的,也可以是其他方面的)?尝试着写出一点。
_________________________________________________________
158231980651年前1
sherry29902003 共回答了16个问题 | 采纳率81.3%
1.自从我母亲得病以来,婶婶诺拉一直照料我的生活。
2.B句直接提出要求,要“我”这样做,显得生硬一些;A句用假设的语气表达,显得委婉一些,使“我”更容易接受,这体现出诺拉婶婶说话的艺术。
3.“善良”表现在“我”将所有的生活费都花在招待诺拉婶婶的这顿午餐上了。因为“我”这样做,就完全不顾今后一个礼拜如何生活,所以“实在很愚蠢”(或“对该说‘不’的时候却不敢说‘不’,所以很愚蠢”)。
4.“略”。本题系开放性试题,允许学生有个性化的理解,但理由必须充分。
5.“略”。本题系开放性试题,心理描写可以突出“我”感到为难而又不愿让婶婶失望的心情。
6.道理:有时候,我们不得不说“不”,我们必须学会说“不”,敢于说“不”。体会:内容方面可以是诺拉婶婶善于教育人的艺术;可以是“我”诚心善待诺拉婶婶的热情……写作特点可以是铺垫可以是设置悬念,可以是描写上的。
编译原理中,形式语言里怎么区分2型文法与3型文法
要去qq玩1年前1
aa13060 共回答了20个问题 | 采纳率100%
通过算法对文法的每一产生式进行分析,如果存在复杂递归,则必是上下文无关文法,否则就是正则文法.
1、像A->Aa|ε这样的文法,虽然存在递归,但却是单一的自递归,可以通过有穷自动机表示和分析处理,所以是正则文法;
2、但是像E->E+T,T->id|(E)这样的文法显然非单一的自递归,而是存在复杂递归,自动机是无法表示和处理的,必然是上下文无关文法.
另外还请注意:
1、正则文法是上下文文法的子集,正则文法也属于上下文无法,但有的上下文文法不一定是正则文法;
2、同时再结合这两个的形式定义认真揣摩必定能悟出一二.
编译原理问题设文法G具有如下产生式:S—>{EtSS’|aS’—>eS|tE—>b要求:(1)请指出文法G的终结符合、非
编译原理问题
设文法G具有如下产生式:
S—>{EtSS’|a
S’—>eS|t
E—>b
要求:(1)请指出文法G的终结符合、非终结符号和开始符号.
(2)分别输出文法G的FIRST和FOLLOW函数.
粤M1年前1
心被掏空的鱼 共回答了16个问题 | 采纳率81.3%
(1) 开始符号:S
非终结符号:S,E,S'
终结符号:{,t,a,e,b
(2)
First(S)={{,a}
First(S')={e,t}
First(E)={b}
Follow(S)={$,e,t}
Follow(S')={$,e,t}
Follow(E)={t}
C语言问题 不理解 为什么 编译全都错
C语言问题 不理解 为什么 编译全都错
3、下列关于对二维数组a进行初始化的操作中,正确的写法是( )。
A、int a[][3]={3,2,1,1,2,3};
B、int a[][]={{3,2,1},{1,2,3}};
C、int a[][3]={{3,2,1},{1,2,3}};
D、int a[2][3]={{3,2,1},{1,2,3}};
liaeast91年前1
漂白的云 共回答了21个问题 | 采纳率90.5%
你的“=”是中文的,改成英文“=”
int a[][3]={3,2,1,1,2,3};
int b[][]={{3,2,1},{1,2,3}};
int c[][3]={{3,2,1},{1,2,3}};
int d[2][3]={{3,2,1},{1,2,3}};
答案是:ACD
编译原理题目关于判断LL(1)文法的
编译原理题目关于判断LL(1)文法的
下列文法中____是LL(1)文法.(S是公理)
A . S→aSb|ab B.S→ab|Sab
C. S→aS|b D .S→aS|Sa
各位答案什么?为什么
育空河天使1年前1
chilly0510 共回答了24个问题 | 采纳率83.3%
A 不是,因为含有左公共引子a
B 和D不是,因为含有左递归
C是,因为SELECT(S→aS) 与SELECT(S→b)的交集为空,符合LL(1)文法的定义.