barriers / 阅读 / 详情

投资机构IC流程是什么

2023-07-27 03:14:57
共1条回复
cloudcone

流程如下:

第一阶段、设计准备

1. 需求分析:市场调研,同功能芯片功能比较。

2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、

协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。

3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。

第二阶段、方案实施

1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。

在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。

2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line

toggle、FSM等都有覆盖到。

第三阶段、时序分析

1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生

2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。

3、静态时序分析:

第四阶段:布局布线

1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括:

a. 工艺PDK

b. stdcell库数据(lef、lib、cdl、gds)

c. 验证规则文件(drc、ant、lvs)

d. QRC techfile、nxtgrd

e. 网表和SDC

同时,还要提供block形状、面积、PIN坐标。

2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能

一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。

然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据

违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。

如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,

重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。

3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。

4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上:

set_propagated_clock [all_clocks]

同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。

第五阶段:signoff

1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。

a. 确认所有功能都与设计方案一致。

b. 确认所有功能点都有仿真激励覆盖到。

c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。

d. 确认D2A、A2D端口的名称、方向、位宽。

只有上述几项都确认无误,最后才能tapeout。

相关推荐

tapeout是什么意思啊?

tapeout 是集成电路设计中一个重要的阶段性成果
2023-07-25 15:00:352

“流片”的英文怎么说

Tapeout , 历史原因,据说最早用磁带把设计文件送出, 于是流片有了tapeout 的说法:)
2023-07-25 15:00:512

电路板中的out是什么意思

out就是输出的意思。1 、电路板都是具有一定功能的系统。2 、最常见的系统形式就是信号输入系统,经过变换得到想要的信号,输出给其他设备使用。3 、输入是in,输出是out。常见的电路系统比如DAC就是输入数字信号,转换成模拟信号,这时候out就是用来输出转换好的模拟信号,用来接耳机等需要模拟信号的设备。扩展资料历史由来当今的IC设计过程当中,需使用到各种EDA工具,经过漫长且复杂的仿真跟验证,才能够将设计好的电路交由晶圆代工厂生产,称之为下线;因此在业界当中,这个词或多或少也包含了一些设计大功告成的祝贺意味在。在比较早期,电子电路的布局(Layout)与光罩(Mask)等要交给后段生产的最终资料,都是存放在磁带(Tape)当中;Tapeout也因而得名。虽然现在已经没有再使用磁带这种储存媒介,而改用光碟片、或直接经由网络上传资料,但业界仍然习惯沿用Tapeout这样的说法。电路板上N-N L-N L-OUT BAT+ BAT-代表意思:1、L = 相线 (火线)2、N = 中性线 (零线)3、L-OUT火线输出4、BAT即Battery,有时候也简写成BT,指的是电池。BAT+和BAT-指电池正极和电池负极。参考资料:百度百科-tapeout_
2023-07-25 15:00:591

tdpie好还是tapeout好

TDPIE职位还是不错的,研发一般做新项目,又是TD的PIE,没有fab pie直接带量产品那么忙那么苦逼,而且一般还有项目奖的。以后往平行fab跳槽,或者跳槽去上游设计公司都有优势。如果一直待在FAB那越老越吃香的。2.我理解中的研究所一般都比较清闲,很多去了研究所太闲又离开的,可能学东西太少太慢,又不内卷。我觉得年轻人一开始工作还是要多吃苦,多学习积累为主。等有了家庭,慢慢跳槽到稳定一些的岗位。但这个研究所是设计岗,可能对未来直接跳槽到芯片设计类公司,更有优势一些。
2023-07-25 15:01:171

调音台上有TAPEOUT还有TAPEIN手机应该接哪个孔

信号输入端口,可连接传统卡座也可连接MP3等便携设备,通过调音台调音和编辑后通过输出端放大收听或混录
2023-07-25 15:01:261

hifi功放preout输出到低音炮

hifi功放preout输出到低音炮可以通过低音炮接口。功放preout接口有三种用法,PREOUT也称低音炮接口,功放接低音炮有两三种低音炮可以接,一种是带有音响线输入的有源超低音,然后是无源超低音(又分为两种,一种只有音箱线输入,等于是并联了一只低音炮。还有一张是有低切输出的。可以接原来的主音箱,相当于无源的2.1系统),最后,如果你的合并功放有录音输出(RECOUT或者TAPEOUT),可以接到有遥控音量的低音炮上。
2023-07-25 15:01:341

英特尔customer engineer是干什么的

英特尔customer engineer是客户工程师。客户工程师要根据公司的销售目标和任务,从技术层面监控和协调公司和客户的沟通,配合销售把各项目从新品流片直至带向量产。客户工程师作为客户的技术接口:为客户提供技术和业务信息,帮助客户从公司内部寻求解决问题的答案和方案,促成客户项目的导入。 作为销售的技术支持:保持与销售团队的紧密合作,达成既定销售目标。客户工程师的职责客户工程师要负责对客户的产品进行妥善版次管控及跟进与汇报客户的项目进度(包括Tapeout);协助公司相关部门(包括测试、技术开发、芯片厂)要求客户提供技术方面的信息。协助公司各部门从客户端获取与确认技术方面的相关信息;通过正式渠道申请或提供相关的信息或资料给客户;与客户建立并保持良好关系,协助客户回答技术与工程方面的提问。拜访客户,参与讨论技术支持等相关议题。customer engineer的使命就是处理客户反馈并推动问题按期结案, 管理和协调工程团队使工程项目顺利导入,并保持稳定生产。
2023-07-25 15:01:561

雅马哈p9500s功放可以连接低音炮吗

雅马哈p9500s功放可以连接低音炮。这是YAMAHA早期的一款AV功放机。机器的型号和后部面板功能接口看不清楚。只要有以下的输出接口就可以接有源低音炮:1、线路输出(LINEOUT)。2、录音输出(TAPEOUT或RECORDOUT)。3、中置输出(CENTREOUT)。4、重低音输出(SUB--WOOFEROUT)。如果功放机上没有上述接口,也可以断开有源低音炮内部的功放电路,直接把功放的扬声器输出与有源低音炮的扬声器(喇叭)连接起来。
2023-07-25 15:02:111

据说A11处理器有55亿个晶体管,工程师是如何设计这么多晶体管的?

现在手机SoC芯片的晶体管数量动辄百亿个,“愚公移山”拼体力一秒画一个,根本不可能。现在的高端芯片设计,已经和体力活说拜拜,设计流程分工极细,设计过程自动化程度极高,这样才能避免芯片上市,“黄花菜”都凉了的尴尬。 下面以数字芯片为例,为大家简单捋一捋芯片设计的过程。 两大流程, SoC芯片设计流程可以分为前端和后端,前端负责逻辑设计、输出门级网表(netlist),后端进行物理设计,输出版图(layout),然后将版图传给芯片厂制造(tapeout)。 顺带说一句为什么传版图给芯片厂叫tapeout。在早期,芯片设计公司都是用磁带(tape)存储芯片版图文件,需要制造时将磁带送到芯片厂,所以叫“tapeout”。这个词一直沿用到现在,即使现在传送版图文件的方式多样化了。 说白了,这是芯片文化的反映,和计算机的“bug”叫法一样,最早就是电子管大型机时代,工程师清扫追寻电子管亮光而被烤死的飞虫,排除飞虫导致的电路故障。后来,“bug"不再指真实世界中的虫子,而是指软件漏洞。 说回芯片设计流程。 芯片设计两大流程 前面说的芯片前端设计,又可细分为行为级、RTL级、门级,行为级描述电路功能,RTL级描述电路结构,门级描述门这一级电路的结构。 芯片后端设计是将前端设计产生的门级网表通过EDA工具进行布局布线,以及物理验证,最终产生供芯片厂制造使用的版图文件。 芯片设计版图详细描述了电路结构,即哪些地方该保留,哪些地方该腐蚀,哪些地方是连线。芯片制造厂将版图制作成光学掩膜,即可用光刻机制造芯片。 上述过程理解比较费力,可以用熟悉的杂志出版打个简单的比方: 前端设计相当于编辑根据选题计划,挑选投稿,编辑处理,并确定哪些稿件排在重要位置(封面文章),哪些稿件仅是填补版面的酱油角色。后端设计的任务,则是将选好的稿件,排成版面,做成版面图文件,交给印刷厂付印。 简单说,芯片前端设计相当于编辑选稿、处理稿件,后端设计相当于版面编辑排版。 芯片设计之所以要分前端和后端,主要是因为芯片特别是高端SoC芯片结构太复杂了。实际上,专业分工是否精细是衡量一个行业复杂度的两大重要指标之一,另一个指标就是自动化程度是否高。 芯片设计就是一个高度自动化的行业,从前端到后端,都离不开EDA软件(Electronic Design Automation,即电子设计自动化)。芯片设计公司在DEA软件平台上完成芯片的前后端设计,不需要手工画电路图。 EDA主要由美国的Cadence和Synopsys公司提供,两家公司都能提供前端和后端设计软件。目前国内的芯片设计公司包括华为海思、中兴、展讯等企业,都离不开Cadence和Synopsys公司的EDA软件平台。为什么非得用Cadence和Synopsys的?因为这两家公司在行业发展几十年,EDA软件功能完备、生态完整,好用。 那么,如何用EDA软件设计芯片呢? 芯片设计七大步,有两步看不到电路 第一步,用Verilog编写电路,这个过程是看不到电路图的,就是一堆描述性语言,以代码形式呈现。 第二步,跑数字仿真,用到的工具有VCS或MMSIM等工具。仿真的目的是看写出来的设计能不能正常工作,这个过程也看不到电路,还是一堆源代码。 第三步,跑完仿真后,将源代码转换成标准单元电路(Standard Cell)。 第四步,用IC-Compiler等工具进行布线,就是把标准单元电路找到对应的位置,用软件进行自动连线,这个过程需要和芯片的制造工艺进行辅助配合。 第五步,再将标准单元电路填入图形,按设计需求连线,形成版图图形。 第六步,完成版图后,还不能马上交付芯片厂生产,谁知道那些单元的连线没连好,造成噪音干扰,导致功耗升高、性能降低。为了消灭潜在bug,需要分别进行设计规则验证、和布局与原理图验证。 第七步,两大验证通过后,就可以把版图制成GDSII电子文件,交给芯片厂流片(小批量试制)。 第八步,流片后对芯片检测,如果芯片功能正常,符合设计要求,OK,让芯片厂大规模生产。 可以看出,芯片整个设计过程共有7个大步骤,全程都通过EDA软件在电脑上完成,不存在工程师手工一个一个画电路图的情形,甚至在前端设计的部分阶段,设计者根本不用考虑晶体管长什么样、有多大,在后端,设计者也不会去数该芯片含有多少晶体管,而由软件自动统计。 正是有了EDA软件的帮助,即使芯片内部有多达百亿级的晶体管,设计起来也轻轻松松,这就是高 科技 的力量和魅力。 工程师不需要一个一个晶体管的去设计芯片。很多现成的电路,比如数模转换电路、微积分电路、傅里叶变换电路等等都是前人设计好了的,后人只需要前一代芯片基础上进行架构的优化和精度提升就行了。 1+1+1。。。55亿个1相加,就算一秒钟算一次要好久啊。到了二三年级就不一样了 首先芯片设计,不是一只只的晶体管设计,而是将成熟或以实验过的单元电路,选择所需要的功能电路,将它们用数据线串联或并联在一起,一块完整芯片就设计完成了。在通过配套的系统控制系统,一个完整的芯片组合系统才算完成,至于芯片所需要的生产技术工艺级别,那就是芯片制造的事了,与芯片设计无关系了。 起初工程师是准备晶体管,把它们集中收好,然后趁处理器不注意的时候一起塞进去。 举个例子吧; 你要盖一栋大楼,需要需要先设计图纸,你这个大楼有10000个房间,但其中有5000个是一样的,还有3000个一样的,还有2个1000个一样的,那么你直接用5个设计方案就够了,把这4个不同的房间设计好,再用一种排列连接方式合在一起就行了, 这样你就不需要去设计10000个,只需要设计4个房间和一个组合方式就好了。 这样用多少材料就一目了然了。 芯片设计也一样,尽管有的芯片里面的元器件有几十亿上百亿个,你只需要设计出怎么排列就行了,里面的型号也就几千个,几万个,只是排列方式不同,把那些一样功能的作为一个整体组合进去就行了。 这些组合方式也不是人工去做的,只需要人的思路就行了,就好比你想把100吨混凝土放在哪一个大楼的位置,不用你几百人上千人亲自去手挖肩扛,直接一辆卡车拉过来就行了。卡车就是那个设计芯片的工具,你来开车,你就是芯片设计人。当然还有塔吊,也是工具。 所以设计芯片就是这么一车一车的累积起来的,而不是一铁锨一铁锨的铲起来的,太慢了。 所以芯片设计需要好几个软件,也就是卡车、塔吊。卷扬机,打桩机等等。 工具很重要,没有工具,你就无法把大楼搞得特别大,比如100万个房间,1千万个房间等等,一个房间就是一个晶体管,多了你就要设计通道,组合等等,用人画是绝对不可能的,只能用软件,画1万个的时间,软件只需要几秒就完成了,人工要多少天呢,上百亿个,要多少年呢,用软件只需要几个月就够了。 尽管这个例子举的不太恰当,能理解个大概就好了,反正我们只是使用者,不关心怎么来的,只关心怎么好用就是了。 ctrl+c, ctrl+v。 模块化设计方法。 设计房子,盖房子,生产砖头水泥钢筋。建筑设计师并不是一粒沙子一勺水泥一根钢筋自己造房子。 比如有个2000万晶体管弄好的部件,这次要用到,就直接拿过来用了。那个部件也是由很多更小的部件组成。 最初的小规模集成电路也就几十个晶体管。 设计大规模超大规模芯片有辅助设计软件,逐次迭代滚动发展,晶体管数越来越多。 看着评论区那么多自以为牛逼的人评论说芯片很好造,和盖楼一样,我就放心了,国家果然还是房地产要发展, 科技 先放一放吧,没什么希望了。还以为会有些看的清的,还是跟随洪流吧。 激光打印机了解下,你个幼儿园的小朋友一笔一划要写多少年啊,光刻机比打印机快万倍
2023-07-25 15:02:191

在半导体集成电路的公司做半导体工艺工程师很累吗?

在foundry干活确实比较累,为了加大出货量生产线基本是不停的。
2023-07-25 15:02:304

请问怎么样通过调音台来录制话筒的声音?

调音台不具有录音功能,如要录音,用电脑或多轨录音机接在调音台的2TKout或tapeout进行录音。
2023-07-25 15:03:002

什么是IC设计服务

茂捷半导体是一家专业从事纯模拟电路和数模混合集成电路设计的IC设计公司。公司资深研发团队将业界先进的设计技术与亚太地区的本土优势产业链相结合,服务全球市场,为客户提供高效率、低功耗、低风险、低成本、绿色化的产品方案和服务。助力于充电器、适配器、照明、锂电充电等产业的发展。
2023-07-25 15:03:114

芯片制造流片一张多少钱?

在现实生活当中就是如果你想买一部手机,那价位选择是非常多的。便宜的有只要一两百的不是智能机的手机,贵一点的就好像苹果得五六千块钱。它们的价钱之所以差那么大,与它们的功能性生产成本等方面是有密切关系的。芯片制造流片也是如此,价格不是唯一的,有贵的也有便宜的,要综合其它方面来讨论。制作流片的费用当中,有很大一笔支出是用于制作mask。这方面的虽然一直在寻求制作工艺的改变,并且也做了非常多的尝试,但是制造的价钱却一直都是居高不下,简单来说就是很贵而且因为标准的提高以后会越来越贵。工艺也是影响价格的重要因素,要是你只是自己尝试做一做,不讲究多复杂的工艺,也不用过于追求严谨,那费用其实还好。但是要是到了能够卖出去,让别人使用的那个地步,工艺要求就很高了,价格也会随之上涨。价格方面单个生产和量产也有非常大的区别,开模的价格非常贵,如果只生产一个,那这个费用就只能算在这一片流片上。但是如果量产,把开模的价钱均摊在许多流片上面,单价就不是那么贵了。量越大,价格会越便宜。芯片制造流片的价格受多方面因素的影响,要综合这些因素来看才能得出结论。
2023-07-25 15:03:302

中国能否造出 CPU?

已经造出来了啊不过就是太慢啊
2023-07-25 15:04:1914

半导体opc工程师需要干什么

半导体行业的制程工程师至少有三十到五十个不同工作领域,例如:光晶圆厂和封测厂就有数百个制程,又可分前工程,后工程....所以必须有学习和兴趣,下决心入半导体工作,找到一定领域再告诉你具体做什么的;
2023-07-25 15:04:472

mask的clear和dark是什么意思麻烦告诉我

我所了解的:1. 只要是mask上的图案,肯定不透光,因为那个地方是铬。2. layout上某层为dark表示该处不透光(即暗),所以对应到wafer上是保留该处PR(对正胶而言)。3. layout上某层为clear表示该处 透光(即亮),所以对应到wafer上是去掉该处PR(对正胶而言)。4. layout上图案变成mask图案是由clear或dark参数决定。dark就是以后wafer上面要保留下来的(不是曝光的窗口),clear就是要开出来的,要曝光显影掉的。比如你版图上画的M1,金属连线当然是要保留下来的;NW当然是要开出窗口的,所以一般都是clear的1Darkand Clear :TW 和 JP 定义可能有不同,但很多情况是相同2 关键是maskshop 与foundry 对Darkand Clear 的定义必须一致3 tapeout data (GDS)已包含对刻蚀区与非刻蚀区的定义Darkand Clear : 动词或操作(并不针对mask 透光区glass,不透光区Cr 状态的描述)Clear : 请在制作mask时,保持GDS 数据中刻蚀区与非刻蚀区原样,不得改变(mask 与GDS数据的刻蚀区与非刻蚀区必须完全一致)Dark和clear就是定义你的所画的图形,将来做成光罩对应的部分是chrome,还是glass.
2023-07-25 15:05:071

验证工程师的跃迁从入门到专业

验证工程师的跃迁从入门到专业如下:一、初出茅庐很多自学者和转行同学早期的一个常见状态:熟悉一定数字电路基础,了解数字设计概念;能够看懂verilog,会写简单的verilog,D触发器之类的;会阅读简单的spec,理解产品手册需求和功能要求。二、崭露头角sv+uvm(前3-6个月),这是大部分从事dv工作者的建议,目前除了大型外企的某些岗位是使用c++来做pv的,可以说uvm已经成了硬通货了,这个阶段不求精通但求能用,可以不会搭建环境,但是得会构建场景。三、渐入佳境handle整个模块从release到RTL freeze到gatesim到tapeout以及post-silicon的整个过程,此时uvm对你来说已经不是难点了,虽然偶尔会因为uvm中某些奇怪的特性卡主,但大部分时间都在构思边界场景,以及如何提高覆盖率。你已经可以对一些简单的设计漏洞自行debug,并给出你的修改意见,你会对designer的笔误十分反感。你会花大量的时间研究design spec,偶尔会看一看uvm的源码,会觉得uvm真的非常强大,同时会发现许多介绍uvm的书并不能涵盖一切应用场景。此时,你最关心的是如何在deadline之前确保验证的完备性和验证的可靠性,如何使得一个测试用例随机出尽可能多的的复杂情况,如何使整个环境的自动化水平变高,如何优雅地写sequence,等等。四、登堂入室在deadline之前完成一个中等模块对你来说已经不是难事,你会时不时关心RTL在改版之后对环境和已有用例的影响,总会觉得上一次做的环境不够完美。你会用自己的方法实现覆盖率驱动验证,写环境和构建用例对你来说已经非常容易,你甚至会觉得这是一个体力活。你会发现设计中的一些不合理的地方,比如fifo居然没有反压机制,支持outstanding的数量不够,数据带宽在某些情况下达不到要求,你会花更多的时间去完善testplan,会更多的关注体系结构和上层数据流动。你已经不满足于基于uvm的simulation ,你会使用一些别的验证方法,比如使用形式验证+assertion的组合验证流控和多路访问的仲裁,与此同时你变得越来越“懒”,对自动化的要求越来越高。
2023-07-25 15:05:331

简述spice的四种level的区别

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢?问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头?这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的”金标准“。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功?呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗?这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母“P”并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母“P”。那这字母“P”到底是什么意思呢?对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)?恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗?)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗?咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个免费的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢?一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢?另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢?  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢?恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言?当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言?对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办?在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢?简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办?Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个“基于使用时间许可证”(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗?  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。“它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。”  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:06:051

哪里有好点的IC版图培训?想改行做IC版图设计,可是不知道哪里可以提供培训!

版图设计最被看重的是tapeout经验。估计一般的培训机构不会提供流片机会吧。所以只是单纯学习的话,比较有名气的大学或研究机构提供的培训应该还行吧。关键是培训完后马上找一个版图设计的工作。
2023-07-25 15:06:151

电器中OUT是什么意思?

看是在什么接口 如果是在音频接口 就代表输出音频
2023-07-25 15:06:399

投资机构IC流程是什么

流程如下:第一阶段、设计准备1. 需求分析:市场调研,同功能芯片功能比较。2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电路(电源电压、ADC、charge pump、FET)。 3. 撰写文档:总体描述功能,画出系统架构、系统状态跳转图,详细描述各个模块功能、寄存器,给出功能设计图、时序图。第二阶段、方案实施 1. 代码实现:根据以上设计准备阶段指定的设计方案 ,工程师在规定的时间内完成代码实现。 在实现过程中,要考虑:面积、速度、clock gating、CDC、latch等设计要点。 2. 功能前仿:在完成代码实现之前和过程中,验证工程师根据设计方案中每一个功能点写出验证case,并统计覆盖率,保证代码里的branch、line toggle、FSM等都有覆盖到。第三阶段、时序分析 1、综合:在完成代码实现和功能仿真后,根据设计方案,制定时序约束文件,综合工具依据时序约束文件生 2、等效检查:利用等效工具,比较RTL代码和综合生成的网表,检查两者一致。 3、静态时序分析:第四阶段:布局布线 1、网表、库文件:完成第三阶段后,向Layout house提供网表和功能实现过程中用到的库文件,其包括: a. 工艺PDK b. stdcell库数据(lef、lib、cdl、gds) c. 验证规则文件(drc、ant、lvs) d. QRC techfile、nxtgrd e. 网表和SDC 同时,还要提供block形状、面积、PIN坐标。 2、功能后仿:提供上述文件后,layout house将进行PR,生成带延时信息的网表和各种Corner的SDF文件。在拿到网表后,需要使用网表进行功能 一致性检查、静态时序分析,并检查spare gate是否被加入到网表里面。 然后利用这个网表和sdf文件,进行功能后仿(测试用例使用之前功能前仿时的case)。 如果发现时序违例,就要分析违例原因。根据违例原因类型,可以采取修改部分代码、ECO、PR修复等方式来解决违例。 如果需要修改代码或者ECO,在修改完后,需要重复第三阶段过程,并将综合的网表再次提供给layout house,layout house返回网表和SDF后,重复上述检查和仿真;如果进行PR修复,那就是直接由layout house进行修改,layout house返回网表和SDF后,重复上述检查和仿真。 3、等效检查:在拿到网表后,要做formality,将PR后的网表跟RTL进行等效比对,检查设计是否一致。 4、时序检查:使用PR后layout house 提供的 网表、spef 文件,以及sdc,重新跑一次post pt。注意要在sdc 里 加上: set_propagated_clock [all_clocks] 同时,注释掉set_ideal_network。然后分析时序报告,如果跟PR提供的时序报告结果不一致,要搞清楚差异在哪里,时序违例要清干净。第五阶段:signoff 1、review:当上述步骤完成后,还要召集相关designer一起review,确保所有设计都是正确无误的。 a. 确认所有功能都与设计方案一致。 b. 确认所有功能点都有仿真激励覆盖到。 c. 确认所有IP的GDS、PIN连接、电源供电、时序关系。 d. 确认D2A、A2D端口的名称、方向、位宽。 只有上述几项都确认无误,最后才能tapeout。
2023-07-25 15:07:251

foundry工艺工程师这个职位怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:07:321

女生学微电子是什么体验?

平常认真上课自习,课下积极写作业看书。成绩中上,然而都大三了,感觉自己还是啥都不会。
2023-07-25 15:07:579

NVIDIA什么时候出Dx11显卡?

NV 现在是想搞费米构架 但是又碰到了许多问题 加上时间紧迫 AMD那边逼得太紧5XXX系列太红 NV无奈才放出一代又一代的马甲 G300 也不会有质的提升 实际在拖延时间包括G200 等费米上线的时候准备打个漂亮的翻身仗
2023-07-25 15:08:144

谁有IC的制作流程?

推荐一本书:任艳颖,王彬 编著的《IC设计基础》,关于流程(包括ASIC和FPGA),讲的很详细
2023-07-25 15:08:372

foundry工艺工程师怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:451

foundry工艺工程师如何发展

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:08:531

为什么许多EDA工具只有Linux版本

据我所知, Virtuoso (我猜题主说的Cadence指的是Virtuoso或者icfb) 和MMSIM/ICC/DC (我猜题主说的Synopsys是指这些软件中的一个) 在出现的时候, 家用机(PC)还没有如此强大的计算能力, 所以在最早期的EDA工具开发中, 逐渐适用了Server, 也即Sun OS和Linux后来Sun OS挂了(基本也就相当于Linux了), 所以目前很多的EDA Tool依然是基于Linux的. 但是, 很大一部分的工具已经开始向Windows Server 改进了.(Virtuoso 61x已经支持Windows Server了, 虽然Bug多多~; MMSIM很早就随着FPGA系列软件渗入Windows了, 只是可能不直接显示Synopsys商标; )其他一些题主没有提到的优秀的软件(譬如COMSOL, HMSS, ADS等) 早已在Windows 里站稳脚跟. 只是Virtuoso 和 一部分大型的Synopsys软件依然需要依靠Server才能跑, 所以停留在了Linux模式. 个人认为, Linux下的命令行模式进行这些大操作反而方便, 至于电路图或者版图只需辅助以相应的GUI即可; 相比之下, Windows 下的PSpice让我用的痛不欲生... 虽然GUI相比好看很多, 但是模拟的精度和速度... 还是停留在200+ nm比较好... 另外就是这种主流大型软件价格是针对公司/学校这种地方的。 这些地方主流的大型服务器也都是linux(详情可以去看看为什么主流服务器是Linux)这些软件一般给学校等教育场所一些较为便宜的license server, 比如$5000 一年, 足够绝大多数layout/simulation/tapeout 使用了标准商用价格基本是 15,000/lic / year。 我不认为有人会自己闲着无聊去买。所以也不会有针对pc的开发。
2023-07-25 15:09:021

foundry的工艺工程师待遇怎么样?

我对foundry工艺工程师不是很熟悉,没有直接且具体的接触过这个职位,仅仅根据我的一些了解给你一些建议吧。 首先,我现在是做集成电路设计的,因为有流片所以和foundry有一些接触,先说上班时间,你要清楚,foundry在生产上是没有节假日之分的,连春节都要生产,而且越到长假的时候流片的人越多,自己不上班,流片的地方还照常流片,多节省时间,所以大家经常会都趁这个时间tapeout出去。生产没日没夜的干,作为工艺工程师这个和生产加工息息相关的职位不会轻松。 其次,虽然对foundry的工艺工程师不是很了解,但由于之前做过板级的工艺工程师的经验,对工艺工程师这个职位还是清楚的。那时候做这个主要就是对生产加工的一些指导,因为生产上的操作人员只会按照流程步骤加工,就需要工艺工程师的指导与控制。当时,一旦有生产的任务,操作人员加班,工艺工程师一样,跟着加班,累是没得说的。说实话,我个人觉得这个职位没什么意思。但客观的说,干的好的也还是有前景的,因为当时的领导,就是从工艺工程师干起,做到了总工艺师的位子,还拿了研究员的职称,才三十多岁。还认识个现在还在做工艺的,工资待遇也还不错。 最后,说说我的一个朋友,他当年毕业时被 意法半导体 录了,就是工艺工程师,不过没去,后来去了另一个大公司做技术支持,现在聊起来,他觉得没后悔,因为他现在接触到的东西可要比一个做工艺工程师的接触的多多了,以他的性格,不适合做工艺。 我的建议是,如果你有几个选择,都不错,就要考虑一下自己是什么样的人而决定了。如果是比较外向,喜欢接触新鲜东西,做事不是很踏实的人,那就别去干工艺工程师,去做一些能够接触的东西更广的工作。如果你是一个做事踏实,能够稳下来仔细琢磨,不轻易受其他因素影响的人,做工艺工程师尤其是集成电路的工艺工程师也还是不错的,集成电路的工艺还是很深的,做好了是有好的收获的。 说的不一定有用,但因为也没看到别的答案,就简单说说供你参考一下吧。
2023-07-25 15:09:101

silvaco模拟的时候,不输入fermi 是不是软件还是用它

  HSPICE  先来说说HSPICE,记得我们前面讲过的批处理运行吧。在当时的大公司里,这是电路仿真标准的运行方式,但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果,然后修改电路参数再做仿真。如此多次以达到最佳结果。有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计,看到了这里面的问题。与其让几百个客户排队等一个银行柜员,为什么不让每一个客户都有一个柜员呢看问题就是商机。他们决定跳出来开自己的公司。于是78年,Meta-Software成立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧,为什么要以H开头看这可是兄弟俩姓的第一个字母啊)。他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上。就这样,借着计算机硬件改朝换代的东风,越来越多的公司开始使用HSPICE了。直到如今,这个HSPICE成了工业界的地金标准逗。只要你做个仿真器,人们一定会跟HSPICE比结果的。而且,在SPICE前面加一个字母成了时尚。到今天,有人开玩笑说A-SPICE一直到Z-SPICE都已经被人用过了(当然,HSPICE仍然是最出名的)。  有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功看呵呵,成功的要素有很多,光用一条是远远不够的。比如说用户的反馈就是相当重要的一条。举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的。像这样的例子还有很多。这些虽然不是什么革命性的技术创新,但它们很实用,能大大提高用户的使用效率。甚至某些时候,对用户来说,这样的小改进比创新的算法更重要。  前面我们提到了七八十年代有很多的 MOSFET器件模型。HSPICE把能拿到的器件模型都收进去了。所以,HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意,它是一本独立的手册。也就是说,光是它里面的七八十个MOSFET模型就是一本书了)。但这样还不够。Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系。在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了。如果你是设计者,你还能不用它吗看这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样,一旦超过了临界质量(criticalmass),它自己就会越滚越大。据Meta-Software的人说,在公司巅峰的时候,他们的销售员就是一台传真机。你只要把传真机号码告诉客户,他们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)。从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE,它的年成长率达到了25-30%。  1996年Meta-Software被Avant!收购。到2001年,Avant!又被Synopsys收购。关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马。它的故事足可以写另一个长篇了。这里且按下不表。  Meta-Software兄弟俩中的老大,ShawnHailey,已于2011年去世。在此之前,他把自己的名字改成了AshawnaHailey。  PSPICE  PSPICE像HSPICE一样,PSPICE的故事也跟它的名字有关。首先,这第一个字母逗P地并不是其创始人的名字。事实上,创始人的名字WolframBlume里根本没有字母逗P地。那这字母逗P地到底是什么意思呢看对了,它就是PC。PSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷。  时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司。工作中,他听到很多抱怨,说公司内部的SPICE速度太慢了。这位老兄也不含糊,立马对其SPICE来了一个详尽的分析。结果发现,大部分时间花在了算 MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想,如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵,又是一个看到商机的主)看恰恰那个时候英特尔推出了支持硬件并行的8085/8086/8087。说干就干。这位老哥创立了MicroSim公司。又是在这时,IBM推出了基于Intel芯片的IBM-PC。另一个机会又来了:只要把SPICE从大型机上移植到PC上就行了。这事儿比起第一个事儿简单太多了。可是,人们当时认为PC就是个游戏机而已,没人拿它来做什么正经事儿(呵呵,看看现在不还是这样吗看)。所以,这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行。  当时的IBM-PC有640KB内存。最大的数组只允许64KB内存。而SPICE是用一个巨长的数组来存储所有的数据。把SPICE的数据放到IBM-PC的结构,用这位老哥的话说,就像把一只鲸鱼塞进一个金鱼缸里。但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理,可他们也快没钱了。这位老兄忽然想到,咱不是把SPICE移植到PC上了吗看咱就先卖着这个软件,用卖它的钱继续开发咱的并行硬件。就这样,PSPICE就开始在PC上出现了。  最初这位老兄想卖硬件加速器的PSPICE版本,可结果恰恰相反,俩年后,纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套。到这时候,这位老兄也明白了。做硬件吃力不讨好,市场并不需要。他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。  同学你看,一个高新复杂的技术并不一定会做出一个卖座的产品。反过来,一个貌似简单的技术可能很受市场的欢迎。另外,PSPICE虽然不是赚钱最多的,但它的用户数绝对是最大的(遍及全世界五大洲)。你可以下载一个的PSPICE用。当然,只限于十个晶体管。但这对一般学生的学习来讲,大部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜,就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话,很可能也在用PSPICE。  下面是PSPICE第二版的封面。  MicroSim1998年被OrCAD收购。OrCAD在2000年又被Cadence收购。  Spectre  Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生。其中一个叫 KenKundent。Ken非常有才气。他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小。在加入了Candence后,他看到HSPICE卖的很火,就决定做个新的仿真工具去取代它。这就是Spectre。据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)。SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性。但它并没能取代HSPICE。为什么呢看一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同。Ken计算机编程的功底很深,他设计的Spectre的输入格式像C语言一样。虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范,但SPICE的用户是电路设计者,他们才不管你的语言多么优美,只要好用就行。另外,如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式,用它已经写了成百上千个电路网表,而且它们都工作的好好的,为什么要去换成另外一个呢看另外,还有一个更重要的原因,就是用户对HSPICE的信赖。这种信赖不是一时半会儿就能建立起来的。它是经过几十年,成千上万遍仿真,几百次tapeout(送出去流片)才能形成的。怎么能说换就换呢看  Ken琢磨着,既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西。做什么呢看恰好在九十年代中期,一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)。(这里再插一句,最早的数模混合电路描述语言是MAST,它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的。后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用。而Verilog主要在美国使用)。Ken就想,好吧,我们也来做个标准的设计语言到Spectre里。这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难。首先,既然你是标准,那就要大伙儿都同意。让大伙儿都同意的事是要花时间的,没那么快。其次更重要的,是你要让模拟电路设计者来学习并使用这个语言。这可是比登天还难的事儿。如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言看当然是电路了。至少到今天为止,还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材,几乎全部都是 VHDL或 Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路,那你的年龄够大了)。另外,当你做模拟设计的时候,你是在搭晶体管电路呢,还是在写描述语言看对模拟电路设计者来说,用语言而不用电路来做设计是不可想象的。反过来,对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的。  Spectre-AMS做出来后,Ken发现当时的感兴趣者寥寥无几(呵呵,这哥儿们专找硬骨头啃)。那怎么办看在公司做产品是要卖钱的。Ken有点儿绝望了。这时,他想到了回去做他在学校做的老本行:射频电路仿真。至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHogan。Jim做了个市场调查。那时射频电路设计市场几乎不存在,只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉Ken,Ken也无可奈何的耸耸肩。Jim对Ken看了好一会儿,说,管它呢,你就做去吧。谁知道这一次却是歪打正着了。九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加入了新的做射频电路芯片的设计公司。这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项。因此,Spectre也就借着Spectre-RF的东风开始流行起来了。后来,HSPICE和Smart-Spice也跟风在自己的SPICE中加进了RF的选项。这也算是Spectre对SPICE的功能扩展做的贡献吧。  Smart-Spice  Smart-Spice是Silvaco公司的产品。说到Silvaco,就不得不说它的创始人 IvanPesic。Ivan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样,通过自己的勤奋努力来到美国。来美国之后,他先开了一家修车店。直到攒够了钱,才在1984年成立了Silvaco。他有一个儿子。可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工。因此学习也不上进。怎么让这小子好好学习呢看简单。有一天,老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面,对儿子说:你就坐在这儿,看看汽车修理工一天的工作是什么样的。自从那一天结束以后,儿子的学习成绩就全变成A了。  说到IvanPesic,我们还不得不说他打官司的故事。Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司。话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天,这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是 TCAD(TechnologyCAD),并不是SPICE。这时它也准备开发自己的Smart-Spice,但它拿不到HSPICE的Level28模型。怎么办看Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost。它就找到Meta-Software说,你看,如果把你们的Level28模型公式放到我们的Utmost中,就会有更多的用户用你们的HSPICE。Meta一想也对,就把Level28模型给了Silvaco。没成想,过了二年,Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型。这下Meta-Software气坏了。就把Silvaco告上了法庭。也就在这个前后,Avant!并购了Meta-Software。但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司。也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子。不管是什么原因,当法庭开庭要宣判的那一天,Avant!居然没有人出庭。这下法官可气坏了。好啊,竟敢藐视本法庭,来啊,判Avant!输,并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了。这下到好,不光不用和解了,还得了一大笔钱。呵呵,人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司。所以同学,如果你是学理工出身的,那你不妨去学学法律。如果你是学文科出身的,那你不妨去学学理工。估摸着在不久的将来,国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的。  但是,一个公司如果光靠打官司,那也是赢得不了客户的。说实话,Smart-Spice做得还是蛮不错的,价格又便宜。Smart-Spice还是第一个逗基于使用时间许可证地(use-timebased license)的工具。这对许多小公司或个人用户是个好消息。如果你没几万美元去买高大上的商业SPICE,或者你就只需跑几次仿真,那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样。卖车店能赚钱,租车店也会有很多顾客的。这不也是一个很好的商业模式吗看  IvanPesic于2012年因癌症在日本去世。如今,他本来想当汽车修理工的儿子已经继承了老爸的事业,接替掌管Silvaco了。  Aeolus-AS  我们应该感到骄傲– 这是我们中国本土的SPICE。虽然名字叫起来很拗口。光从名字上也看不出这是SPICE。它是由北京华大九天开发的。至于为什么起这样一个名字,还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具。下面的几句话是从华大的网页上摘下来的,也算给他们做个广告吧。逗它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前验证大规模电路所遇到的容量、速度瓶颈。Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升,同时支持多核并行。地  还有一类是工业界但非商业(也就是不拿出来卖的)SPICE。通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队。这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了。
2023-07-25 15:09:171

java的linkedList怎么没有isEmpty方法

有呀,其实你可以敲一下代码就知道。
2023-07-25 15:05:141

学生,农民,司机,飞机,长 城用英语分别怎么写

医生doctor护士nurse农民farmer司机driver老师teacher延伸:常见职业的英文翻译:accountant: 会计 actor: 男演员 actress: 女演员 airline representative: 地勤人员 anchor: 新闻主播 announcer: 广播员 architect: 建筑师 artist: 艺术家 associate professor: 副教授 astronaut: 宇航员. attendant: 服务员 auditor: 审计员auto mechanic : 汽车技工 baker: 烘培师 barber: 理发师 (男) baseball player: 棒球选手 bell boy: 门童 bellhop: 旅馆的行李员 binman: 清洁工,垃圾工blacksmith: 铁匠 boxer: 拳击手 broker (agent) : 经纪人 budgeteer: 预算编制者bus driver: 公车(巴士)司机 butcher: 屠夫,肉商 buyer: 采购员 carpenter:木匠 cartoonist: 漫画家 cashier: 出纳员chef: 厨师 chemist : 化学师clerk : 店员 clown :小丑 cobbler: 制(补)鞋匠 computer programmer : 程序员 construction worker : 建筑工人 cook: 厨师cowboy :牛仔 customs officer :海关官员 dancer : 舞者 dentist: 牙科医生designer: 设计师desk clerk: 接待员 detective 侦探doctor: 医生 door-to-door salesman: 推销员 driver: 司机 dustman: 清洁工 editor : 编辑 electrician :电工 engineer:工程师farmer: 农夫 fashion designer: 时装设计师 fireman (firefighter): 消防员 fisherman: 渔夫 florist: 花商 flyer: 飞行员 Foreign minister : 外交部长 gardener花匠(园丁) gas station attendant : 加油工 geologist : 地质学家 guard :警卫 guide: 导游 hiredresseer: 理发师,美容师(女) housekeeper : 管家 housewife : 家庭主妇 interpreter :口译员 janitor : 清洁工 journalist: 记者 judge 法官 lawyer :律师librarian: 图书管理员.life guard :救生员 magician :魔术师 masseur : 男按摩师 masseuse : 女按摩师 mathematician : 数学家 mechanic: 机械师 ,机修工miner: 矿工model: 模特儿 monk : 和尚,教士 movie director: 导演 movie star : 电影明星musician : 音乐家nun : 尼姑 nurse: 护士 office clerk : 职员 office staff 上班族 operator: 接线员parachutist: 跳伞人.pharmacist药剂师 photographer:摄影师 pilot: 飞行员 planner: 计划员 policeman: 警察 postal clerk: 邮政人员 postman :邮差 President: 总统 priest: 牧师processfor: 教授 real estate agent: 房地产经纪人 receptionist :接待员 repairman :修理工人 reporter : 记者 sailor: 船员,水手salesman/ selespeople/ salesperson: 售货员 scientist: 科学家 seamstress 女装裁缝师 secretary: 秘书 singer: 歌手 soldiery: 士兵,军人 statistician : 统计员surveyor: 测量技师 tailor: 裁缝师 taxi driver计程车司机 teacher: 教师 technician : 技术人员 tour guide: 导游 traffic warden: 交通管理员. translator: 翻译(笔译) TV producer: 电视制作人 typist: 打字员 vet: 兽医veterinarian兽医 waiter: 侍者(服务生) waitress: 女侍者(服务生) welder : 焊接工 writer: 作家
2023-07-25 15:05:152

求 MICRO-STAR INTERNATIONAL CO., LTD MS-7104主板驱动

http://global.msi.com.tw/index.php?func=downloaddetail&type=driver&maincat_no=1&prod_no=205
2023-07-25 15:05:232

email的用法?

email 英[ˈiːmeɪl] 美[ˈiːmeɪl]n. 电子邮件(通信方式); 电子邮件;v. (给…) 发电子邮件; 用电邮发送;[例句]Email your views to sport@times.co.uk将您的看法以电子邮件形式发送至sport@times.co.uk。发送电子邮件我们常用的就是登录qq邮箱,用自己的邮箱给对方发送信息或传送文件。
2023-07-25 15:05:231

2003 Give Me Five 歌词

歌曲名:2003 Give Me Five歌手:张善为我是玉树临风天下无敌的张善为我本善良的善天下为公的为接下来说个故事给你听来啰我是善为今年18岁身高178有张帅帅的脸喜欢大声唱歌和表演出身在苗栗生活像大少爷从小跟奶奶说我想出唱片结果换来不少邻居的白眼时间一天一天又过了一天转眼已长大我来到了台北大学生活天只有lu la la新中的梦想一直没有退到底什么时候才能够出唱片唱片公司你到底在哪里记得奶奶曾说保持善良的行为其他的安排就交给老天爷反正人生本来就有苦辣酸甜我是善为一定就要勇敢向前give me 5我的手放在你的面前dear friend 一起 say heygive me 5未来有你才会有画面让热情像不灭火焰接了几支广告露了几次脸心想变大明星可以赚大钱大家津津乐道竟是五月天没人记得春娇志明我也在里面救国团找我出了一张ep 结果通告也只走了一两天cd其实总共也没有卖几片老天爷你为何让我这么丢脸我的超级救星苗姐终于出现她不嫌弃我的眯眯眼说我以后一定会有大作为我跟她说其实我想出唱片她跟我说去ktv唱就好现在最重是yoyo新乐园各位小朋友好我是happy哥 yoyo新乐园要快乐一整年give me 5我的手放在你的面前 dear friend 一起say heygive me 5未来有你才会有画面让热情像不灭火焰然后是我第次去演戏角色是麻辣鲜师的柯志明结果不小心风靡少女万千青春真伟大一起努力吧电子情人 you got mail 让我和王仁甫看对眼默契好到让人流眼泪打造完全娱乐的新世界有个盲从的大老粗还有个快乐的小草莓全苗栗只有我草莓仙子最美每年比赛我都得第一(夹来夹来夹来夹来来------共下和草莓仙子一起夹夹滚)不管梦想距离有多遥远用尽全力我的拼命希望你看见待人亲切小小眼精大大笑脸努力表演只要有善为快乐一定在身边give me 5我的手放在你的面前dear friend 一起 say heygive me 5未来有你才会有画面让热情像不灭火焰give me 5我的手放在你的面前dear friend 一起 say heygive me 5未来有你才会有画面让热情像不灭火焰http://music.baidu.com/song/5850793
2023-07-25 15:05:291

500分! 联胜 Lucky-Star 6ABX3 V10.0 Intel440BX 芯片组 集成显卡主板驱动

440BX是主板~集成显卡??你找主板驱动没有意义~
2023-07-25 15:05:3512

email可数还是不可数

e-mail可以做不可数名词,也可以做可数名词当表示电子邮件这个整体的统称时,为不可数的E-mail is a good communication tool.电子邮件是一种好的交流工具.当表示一封电子邮件时,为可数的an e-maile-mails
2023-07-25 15:05:351

新媒体营销学习网站推荐

说真的,做运营越久,你越会发现,那么多网站,你真正用顺手的,也就那么几个而已。就好像女生有很多口红,但是常用的也就那么几支。以下推荐的,都是精品:最基础的,新媒体写作素材库:一、新媒体管家这个工具做新媒体的应该都不陌生,但是很多人使用新媒体管家还是用于素材采集、微信排版等等。其实这个工具非常强大,不仅有排版和账号管理的能力,而且还有一个热点中心,基本把百度、微信、微博、知乎各个主流平台的热点内容都整合进去了。存素材和找选题都非常方便哦。二、135编辑器最开始用135编辑器只是用来排版的,结果后面才发现这个工具还蛮多惊喜的。除了排版,文章常用的金句这里能够找到、高清免费的图片素材能找到、还有对接一些微信营销常用的工具(不过135有一点不好就是,这些工具里面很多都是广告植入)用于学习提升的三、暖石网非常全面系统的一个学习网站,不过不是免费的。主要包含文案、数据分析、运营思维、职业规划等学习,适用于互联网新人和应届生,能够帮你快速甩开同龄人的一个网站(主要是课程带很多必须要完成的实操作业)。四、插座学院插座学院在新媒体运营方面的付费课程做得还是非常不错的,里面的内容干货很多。(大咖很多,但是内容相对碎片化一些,适合补充某个领域的技能学习)五、公众号:运营深度精选运营深度精选是微信裂变营销大咖鉴峰做的一个服务号,里面有他们团队做项目的复盘。像2018年初的网易戏精课、三联中读等刷屏案例就是他们操盘的。新榜是专注于服务内容创业的平台,你可以收藏他们的网站+公众号,经常会推出一些大号运营的案例,对于最新的刷屏活动,他们也会实时跟进,可以说是一个非常不错的运营学习平台。不过文章的形式毕竟相对碎片化和理论,所以很多东西还得自己在实际工作中去验证。七、馒头商学院这个号我平时看得比较少一些,不过新媒体的同学在这里还是能收获到一些东西的。(建议关注公众号就可以了)八、姑婆那些事姑婆的创始人万佩是个有意思的人,姑婆的公众号也会发布很多新媒体营销的内容,关注一下,偶尔看看,也不会损失什么对吧?用于看业内最新资讯的九、36氪没事的时候看看,还是挺有意思的,不过千万别把36氪当成学习平台。(学习一下人家的内容运营还是可以的)平时偶尔会看,是个有一点深度的媒体,平时看看还是不错的。十一、全球顶尖创意分享平台OPENYOURMINDTOPYS有很多有意思的全球创意广告和宣传片,也许可以给你找点素材和灵感。十二、人人都是产品经理非常老牌的网站了,除了产品经理的内容之外,里面也有很多运营和营销的内容,很深度。综合营销工具平台投票、H5活动等:凡科、人人秀小程序搭建(0基础):上线了H5制作:兔展、秀米等裂变工具(个人号及群运营):八爪鱼、爆汁裂变、任务宝(有赞)微信直播平台:荔枝微课、千聊当然,除了以上这些,新媒体从业者还得多看点互联网书籍充实自己啊。本文作者:小强,斜杠青年,知乎专栏作者,创业团队运营负责人公众号:小强职场说,专注职业发展,个人成长(后台回复:面试作品,可获得我入职互联网公司的面试作品)
2023-07-25 15:05:361

谁有李宇春give me five的歌词

Give me five演唱:李宇春重复着每天梦想都失眠自信的城堡就快被攻陷何不来耸耸肩再扮个鬼脸把剩下的交给直觉漫游午夜场灵感的盛宴雨中忘情踢踏谁来作伴分分钟向前冲自己都感动就算失败也英雄西装搭配最爱的球鞋脚步坚定讲话够直接不分季节爱没有区别音乐起来看谁是主宰就是你give me five感受这狂想节拍don"t be shy什么放不开give me five跟着我尽情摇摆释放最真的期待give me five感受这狂想节拍don"t be shy什么放不开give me five跟着我尽情摇摆释放最真的期待give me five感受这狂想节拍don"t be shy什么放不开give me five跟着我尽情摇摆释放最真的期待give me five感受这狂想节拍don"t be shy什么放不开give me five跟着我尽情摇摆释放最真的期待释放最真的期待
2023-07-25 15:05:361

fold的过去式

fold基本释义: 1、折叠(纸、布等);把物品对折:to fold a sheet 将纸折起来。 2、折叠好,合拢(使更紧密):Fold your napkin.把你的餐巾叠好。 3、交叠,交(臂),抱(臂):to fold the arms 交臂。 4、(鸟)收起(翅膀):The bird folded its wings.鸟将翅膀收起。
2023-07-25 15:05:431

email是什么意思?

很多人在网络上,经常会听到网友说:我发email给你,究竟这个email是什么意思?email又有什么功能和特点?下面让我们一起去了解email吧。 简要回答 email就是电子邮件,是用电子手段提供信息互相交换的一种通信方式,是互联网当中应用范围最广的一种服务。 详细内容 电子邮件可以是文字、图像、声音等多种形式。同时,用户可以得到大量免费的新闻、专题邮件,并轻松实现轻松的信息搜索。电子邮件的存在极大地方便了人与人之间的沟通与交流,促进了社会的发展。 虽然电子邮件是在70年代发明的,它却是在80年才得以兴起。70年代的沉寂主要是由于当时使用Arpanet网络的人太少,网络的速度也仅为56Kbps标准速度的二十分之一。 使电子邮件成为主流的第一个程序是Euroda,是由史蒂夫·道纳尔在1988年编写的。由于Euroda是第一个有图形界面的电子邮件管理程序,它很快就成为各公司和大学校园内的主要使用的电子邮件程序。 电子邮件的发送:SMTP是维护传输秩序、规定邮件服务器之间进行哪些工作的协议,它的目标是可靠、高效地传送电子邮件。SMTP独立于传送子系统,并且能够接力传送邮件。 电子邮件的接收:POP3是用于提供一种实用的方式来动态访问存储在邮件服务器上的电子邮件的。一般来说,就是指允许用户主机连接到服务器上,以取回那些服务器为它暂存的邮件。 电子邮件地址的格式由三部分组成。第一部分“USER”代表用户信箱的账号,对于同一个邮件接收服务器来说,这个账号必须是唯一的;第二部分“@”是分隔符;第三部分是用户信箱的邮件接收服务器域名,用以标志其所在的位置。
2023-07-25 15:05:131

give me five怎么读

给我五
2023-07-25 15:05:114

华东政法大学什么水平

华东政法大学什么水平如下:华东政法大学是全国政法类大学的前三强之一,在法学领域久负盛名,是级别很高的政法大学。华东政法大学(East China University of Political Science and Law),位于中国上海,是中央与地方共建高等学校,是上海市高水平地方高校,入选国家建设高水平大学公派研究生项目、国家“特色重点学科项目”、教育部人才培养模式创新实验区、卓越法律人才教育培养计划、中国政府奖学金来华留学生接收院校、国家级大学生创新创业训练计划、全国毕业生就业典型经验高校、全国首批法律硕士专业学位授予权单位、最高人民法院自贸区司法研究基地、上海市卓越法律人才培养基地和卓越新闻传播人才教育培养基地;为五院四系成员,亚洲法律学会、中日人文交流大学联盟、沪港大学联盟创始成员;是以法学学科为主,兼有经济学、管理学、文学、工学等学科的多科性大学。学校原名华东政法学院,1952年6月由圣约翰大学、复旦大学、南京大学、东吴大学、厦门大学、沪江大学、安徽大学、上海学院、震旦大学等9所院校的法律系、政治系和社会系在圣约翰大学旧址合并成立。1958年,学校并入上海社会科学院;1963年再次筹建,次年招生;1966年停止招生,1972年被撤销;1979年3月,经国务院批准,第二次复校。2000年,学校由司法部划归上海市管理。2007年3月,经教育部批准,学校更名为华东政法大学。
2023-07-25 15:05:081

fold的同义词是什么? 4个

1. an angular or rounded shape made by folding 同义词:crease, plication, flexure, crimp, bend 2. a group of people who adhere to a common faith and habitually attend a given church 同义词:congregation, faithful 3. a geological process that causes a bend in a stratum of rock 同义词:folding 4. a group of sheep or goats 同义词:flock 5. a folded part (as in skin or muscle) 同义词:plica 6. a pen for sheep 同义词:sheepfold, sheep pen, sheepcote 7. the act of folding 同义词:folding
2023-07-25 15:05:081

荔枝微课直播,可以用obs推流直播软件吗?

可以的。荔枝微课直播支持使用OBS推流直播软件进行推流。使用OBS推流软件时,需要将荔枝微课直播提供的推流地址和推流密钥添加到OBS软件配置中。在推流时,需要注意网络环境的稳定性和带宽的使用情况,以及视频码率和分辨率的设置。通过OBS软件推流,可以实现更加自由灵活的直播场景和效果,并且荔枝微课直播支持多种音视频格式的推流,具有较高的兼容性。同时,使用OBS软件推流还可以加入特效、图像、文字等媒体元素,提升直播质量和观看体验。
2023-07-25 15:05:072

在java中常出现的异常和解决方法?

1. java.lang.nullpointerexception 这个异常大家肯定都经常遇到,异常的解释是"程序遇上了空指针",简单地说就是调用了未经初始化的对象或者是不存在的对象,这个错误经常出现在创建图片,调用数组这些操作中,比如图片未经初始化,或者图片创建时的路径错误等等。对数组操作中出现空指针,很多情况下是一些刚开始学习编程的朋友常犯的错误,即把数组的初始化和数组元素的初始化混淆起来了。数组的初始化是对数组分配需要的空间,而初始化后的数组,其中的元素并没有实例化,依然是空的,所以还需要对每个元素都进行初始化(如果要调用的话) 2. java.lang.classnotfoundexception 这个异常是很多原本在jb等开发环境中开发的程序员,把jb下的程序包放在wtk下编译经常出现的问题,异常的解释是"指定的类不存在",这里主要考虑一下类的名称和路径是否正确即可,如果是在jb下做的程序包,一般都是默认加上package的,所以转到wtk下后要注意把package的路径加上。 3. java.lang.arithmeticexception 这个异常的解释是"数学运算异常",比如程序中出现了除以零这样的运算就会出这样的异常,对这种异常,大家就要好好检查一下自己程序中涉及到数学运算的地方,公式是不是有不妥了。 4. java.lang.arrayindexoutofboundsexception 这个异常相信很多朋友也经常遇到过,异常的解释是"数组下标越界",现在程序中大多都有对数组的操作,因此在调用数组的时候一定要认真检查,看自己调用的下标是不是超出了数组的范围,一般来说,显示(即直接用常数当下标)调用不太容易出这样的错,但隐式(即用变量表示下标)调用就经常出错了,还有一种情况,是程序中定义的数组的长度是通过某些特定方法决定的,不是事先声明的,这个时候,最好先查看一下数组的length,以免出现这个异常。 5. java.lang.illegalargumentexception 这个异常的解释是"方法的参数错误",很多j2me的类库中的方法在一些情况下都会引发这样的错误,比如音量调节方法中的音量参数如果写成负数就会出现这个异常,再比如g.setcolor(int red,int green,int blue)这个方法中的三个值,如果有超过255的也会出现这个异常,因此一旦发现这个异常,我们要做的,就是赶紧去检查一下方法调用中的参数传递是不是出现了错误。 6. java.lang.illegalaccessexception 这个异常的解释是"没有访问权限",当应用程序要调用一个类,但当前的方法即没有对该类的访问权限便会出现这个异常。对程序中用了package的情况下要注意这个异常。 其他还有很多异常,我就不一一列举了,我要说明的是,一个合格的程序员,需要对程序中常见的问题有相当的了解和相应的解决办法,否则仅仅停留在写程序而不会改程序的话,会极大影响到自己的开发的。关于异常的全部说明,在api里都可以查阅。算术异常类:ArithmeticExecption空指针异常类:NullPointerException类型强制转换异常:ClassCastException数组负下标异常:NegativeArrayException数组下标越界异常:ArrayIndexOutOfBoundsException违背安全原则异常:SecturityException文件已结束异常:EOFException文件未找到异常:FileNotFoundException字符串转换为数字异常:NumberFormatException操作数据库异常:SQLException输入输出异常:IOException方法未找到异常:NoSuchMethodExceptionjava.lang.AbstractMethodError抽象方法错误。当应用试图调用抽象方法时抛出。java.lang.AssertionError断言错。用来指示一个断言失败的情况。java.lang.ClassCircularityError类循环依赖错误。在初始化一个类时,若检测到类之间循环依赖则抛出该异常。java.lang.ClassFormatError类格式错误。当Java虚拟机试图从一个文件中读取Java类,而检测到该文件的内容不符合类的有效格式时抛出。java.lang.Error错误。是所有错误的基类,用于标识严重的程序运行问题。这些问题通常描述一些不应被应用程序捕获的反常情况。java.lang.ExceptionInInitializerError初始化程序错误。当执行一个类的静态初始化程序的过程中,发生了异常时抛出。静态初始化程序是指直接包含于类中的static语句段。java.lang.IllegalAccessError违法访问错误。当一个应用试图访问、修改某个类的域(Field)或者调用其方法,但是又违反域或方法的可见性声明,则抛出该异常。java.lang.IncompatibleClassChangeError不兼容的类变化错误。当正在执行的方法所依赖的类定义发生了不兼容的改变时,抛出该异常。一般在修改了应用中的某些类的声明定义而没有对整个应用重新编译而直接运行的情况下,容易引发该错误。java.lang.InstantiationError实例化错误。当一个应用试图通过Java的new操作符构造一个抽象类或者接口时抛出该异常.java.lang.InternalError内部错误。用于指示Java虚拟机发生了内部错误。java.lang.LinkageError链接错误。该错误及其所有子类指示某个类依赖于另外一些类,在该类编译之后,被依赖的类改变了其类定义而没有重新编译所有的类,进而引发错误的情况。java.lang.NoClassDefFoundError未找到类定义错误。当Java虚拟机或者类装载器试图实例化某个类,而找不到该类的定义时抛出该错误。java.lang.NoSuchFieldError域不存在错误。当应用试图访问或者修改某类的某个域,而该类的定义中没有该域的定义时抛出该错误。java.lang.NoSuchMethodError方法不存在错误。当应用试图调用某类的某个方法,而该类的定义中没有该方法的定义时抛出该错误。java.lang.OutOfMemoryError内存不足错误。当可用内存不足以让Java虚拟机分配给一个对象时抛出该错误。java.lang.StackOverflowError堆栈溢出错误。当一个应用递归调用的层次太深而导致堆栈溢出时抛出该错误。java.lang.ThreadDeath线程结束。当调用Thread类的stop方法时抛出该错误,用于指示线程结束。java.lang.UnknownError未知错误。用于指示Java虚拟机发生了未知严重错误的情况。java.lang.UnsatisfiedLinkError未满足的链接错误。当Java虚拟机未找到某个类的声明为native方法的本机语言定义时抛出。java.lang.UnsupportedClassVersionError不支持的类版本错误。当Java虚拟机试图从读取某个类文件,但是发现该文件的主、次版本号不被当前Java虚拟机支持的时候,抛出该错误。java.lang.VerifyError验证错误。当验证器检测到某个类文件中存在内部不兼容或者安全问题时抛出该错误。java.lang.VirtualMachineError虚拟机错误。用于指示虚拟机被破坏或者继续执行操作所需的资源不足的情况。java.lang.ArithmeticException算术条件异常。譬如:整数除零等。java.lang.ArrayIndexOutOfBoundsException数组索引越界异常。当对数组的索引值为负数或大于等于数组大小时抛出。java.lang.ArrayStoreException数组存储异常。当向数组中存放非数组声明类型对象时抛出。java.lang.ClassCastException类造型异常。假设有类A和B(A不是B的父类或子类),O是A的实例,那么当强制将O构造为类B的实例时抛出该异常。该异常经常被称为强制类型转换异常。java.lang.ClassNotFoundException找不到类异常。当应用试图根据字符串形式的类名构造类,而在遍历CLASSPAH之后找不到对应名称的class文件时,抛出该异常。java.lang.CloneNotSupportedException不支持克隆异常。当没有实现Cloneable接口或者不支持克隆方法时,调用其clone()方法则抛出该异常。java.lang.EnumConstantNotPresentException枚举常量不存在异常。当应用试图通过名称和枚举类型访问一个枚举对象,但该枚举对象并不包含常量时,抛出该异常。java.lang.Exception根异常。用以描述应用程序希望捕获的情况。java.lang.IllegalAccessException违法的访问异常。当应用试图通过反射方式创建某个类的实例、访问该类属性、调用该类方法,而当时又无法访问类的、属性的、方法的或构造方法的定义时抛出该异常。java.lang.IllegalMonitorStateException违法的监控状态异常。当某个线程试图等待一个自己并不拥有的对象(O)的监控器或者通知其他线程等待该对象(O)的监控器时,抛出该异常。java.lang.IllegalStateException违法的状态异常。当在Java环境和应用尚未处于某个方法的合法调用状态,而调用了该方法时,抛出该异常。java.lang.IllegalThreadStateException违法的线程状态异常。当县城尚未处于某个方法的合法调用状态,而调用了该方法时,抛出异常。java.lang.IndexOutOfBoundsException索引越界异常。当访问某个序列的索引值小于0或大于等于序列大小时,抛出该异常。java.lang.InstantiationException实例化异常。当试图通过newInstance()方法创建某个类的实例,而该类是一个抽象类或接口时,抛出该异常。java.lang.InterruptedException被中止异常。当某个线程处于长时间的等待、休眠或其他暂停状态,而此时其他的线程通过Thread的interrupt方法终止该线程时抛出该异常。java.lang.NegativeArraySizeException数组大小为负值异常。当使用负数大小值创建数组时抛出该异常。java.lang.NoSuchFieldException属性不存在异常。当访问某个类的不存在的属性时抛出该异常。java.lang.NoSuchMethodException方法不存在异常。当访问某个类的不存在的方法时抛出该异常。java.lang.NullPointerException空指针异常。当应用试图在要求使用对象的地方使用了null时,抛出该异常。譬如:调用null对象的实例方法、访问null对象的属性、计算null对象的长度、使用throw语句抛出null等等。java.lang.NumberFormatException数字格式异常。当试图将一个String转换为指定的数字类型,而该字符串确不满足数字类型要求的格式时,抛出该异常。java.lang.RuntimeException运行时异常。是所有Java虚拟机正常操作期间可以被抛出的异常的父类。java.lang.SecurityException安全异常。由安全管理器抛出,用于指示违反安全情况的异常。java.lang.StringIndexOutOfBoundsException字符串索引越界异常。当使用索引值访问某个字符串中的字符,而该索引值小于0或大于等于序列大小时,抛出该异常。java.lang.TypeNotPresentException类型不存在异常。当应用试图
2023-07-25 15:05:061

如何判断 redis 连接是否有效

命令行内直接连接试试就知道了
2023-07-25 15:05:064

意大利语从1~100的意大利语怎么写?

我写拼音好了1unou-no吴咯2duedu-e度呃3tre-的累4quattro-瓜德络5cinque-庆亏6sei-谁(读四声)7sette-晒得(得一声)8otto-噢多9nove-落歪10dieci-第呃齐。。。。这样弄的我好无言啊,其实意大利语就是拼出来的,和英文不一样,会拼就会读,拼的规律也不多,和拼英很像11undici-吴第七12dodici-多第七13tredici-的累第七14quattordici-瓜多第七15quindici-坤第七16seidici-谁第七diciasette-第恰晒得dodici-多第七dicianove-第恰落危20venti-问题21ventuno,22ventidue依次类推30trenta得锐大40quatanta-瓜烂大50cinquanta-亲瓜大seissanta-谁三大settanta-塞但大ottanta噢单大novanta罗万大100cento钱多读得听得不准。。。不能怪我
2023-07-25 15:05:041

Email是什么

Email(ElectronicMail,电子邮件)是Internet上的重要信息服务方式.它为世界各地的Internet用户提供了一种极为快速.简单和经济的通讯和交换信息的方法。与常规信函相比,Email非常迅速,把信息传递时间由几天到十几天减少到几分钟而且Email使用非常方便,即写即发,省去了粘贴邮票和跑邮局的烦恼;与电话相比,Email的使用是非常经济的,传输几乎是免费的。正是由于这些优点,Internet上数以亿计的用户都有自己的Email地址,Email也成为利用率最高的Internet应用。EMAIL格式为:aaa@bbb.cccaaa为你自己选择的用户名,@为连接符,bbb.ccc为提供EMAIL的公司域名,如zhang123@163.com常用提供邮箱的有:网易www.163.com,搜狐www.sohu.com新浪www.sina.com雅虎www.yahoo.com.cnwww.126.comTOM:www.163.net等等
2023-07-25 15:05:041

give me five怎么读

gi 米 fai快采纳我
2023-07-25 15:05:033