barriers / 阅读 / 详情

求基于VHDL多功能数字频率计各模块作用

2023-09-20 08:39:13
共1条回复
meira

1。电源模块,系统供电的,没有电不行。再做的复杂点,可以加入功耗的控制,控制其他模块的供电。在某些情况下,比如,可以关掉显示模块,要用的时候再打开。这样可以省电。

2。键控制模块,控制键盘输入的,比如你想让他关掉显示,让他测量频率,范围多少,等。

3。显示模块,显示你想知道的内容

4。单片机,作为CPU用的,软件架构在这个上面跑。

5。CPLD,数字频率计的核心,就是测频率的。

相关推荐

数字频率计工作的原理是什么

数字频率计工作原理数字频率计是一种统计数字出现次数的工具,它的工作原理是对于输入的数据进行扫描,统计每个数字出现的次数。首先,数字频率计会创建一个数组,用来存储每个数字出现的次数。数组的下标表示数字,对应的元素值存储了该数字出现的次数。然后,数字频率计会对输入的数据进行扫描,统计每个数字出现的次数。当扫描到一个数字时,数字频率计会把该数字的出现次数加1。最后,数字频率计会打印出每个数字出现的次数,以及所有数字总共出现的次数。这样,数字频率计就可以快速准确地统计数字出现的次数。
2023-09-07 18:50:251

智能频率计与数字频率计的区别

工作原理和特点不同。1、智能频率计是一种基于数字信号处理技术的频率计,它可以通过对输入信号进行数字信号处理,实现高精度、高速度的频率测量;智能频率计通常具有自动测量、自动校准、自动补偿等功能,可以适应不同的测量环境和信号类型;智能频率计的优点是精度高、速度快、稳定性好,适用于高精度、高速度的频率测量。2、数字频率计是一种基于数字电路技术的频率计,它通过对输入信号进行数字计数和计算,实现频率的测量;数字频率计通常具有高精度、高稳定性、易于操作等特点,可以适用于不同的频率范围和信号类型;数字频率计的优点是精度高、稳定性好、易于操作,适用于各种频率范围和信号类型的测量。
2023-09-07 18:50:341

数字频率计

1)测周法:使用“测周法”测量输入信号的频率,在数字电路中可以利用被测信号的边沿来向电路内部提供一个闸门时间,在闸门时间内对系统内部提供的标准高频时钟信号的边沿进行计数。若被测信号的频率为 ,标准高频时钟信号的频率为 ,测量所得计数值为 ,则可以根据公式(1)得到被测信号频率。 时序图如图1.1.1所示: 2)计数法:使用“计数法”测量输入信号的频率,即通过系统内部给一个固定闸门时间,在闸门时间内用计数器对被测信号的边沿进行计数。若被测信号的频率为 ,内部闸门时间为T,测量所得计数值为 ,则可以根据公式(2)得到被测信号频率。时序图如图1.1.2所示: 因为在数字电路中使用中小规模逻辑器件构建多位除法器电路十分困难,故选择计数法来测量被测信号的频率。通过设置内部闸门时间T为1s,计数器在闸门时间内的计数值 即为被测信号的频率值。 根据设计要求,数字频率计最高需测量100kHz的TTL电平信号,故数字频率计系统通过逻辑控制电路给计数器芯片提供1s闸门时间的计数信号,在1s计数完成之后锁存计数器所得到的计数值,并且通过译码器译码完成后通过数码管显示出来。锁存完成之后再向计数器提供清零信号,然后计数器再开始下一次的测量,系统整体设计框图如图1.2.1所示。 时基电路是由555定时器构成的多谐振荡器,电路原理图如图2.1.1所示。在接通电源之后,若此时555定时器的放电三极管T未导通,则电容C1通过电阻R1、R2进行充电,此时电路输出高电平。当电容C1上的电压达到 时,电路输出高电平,同时放电三极管T导通,电容C1通过电阻R2放电,电路输出低电平。当电容C1上电压下降至 时,电路输出翻转为高电平,同时放电三极管T截止,电路周而复始的工作,产生时基信号。时基信号的高电平时间由电容充电时间决定,其计算公式如下: 时基信号的低电平时间由电容充电时间决定,其计算公式如下:使用模拟示波器测量时基电路输出的时基信号,示波器测量结果如图2.1.2所示。根据示波器测量结果,时基信号的高电平时间约为1s,低电平时间约为120ms,时基信号满足设计要求。根据设计要求,需测量100kHz的信号,使用计数法计数时,计数模块最低需在1s内可以计100k个边沿脉冲。且因为显示模块为数码管,为了让数码管方便显示,故每一个计数器芯片都应设计为模十计数器,同时为6个模十计数器级联才能符合设计要求。但是当计数模块为6个计数器级联时,若采用同步计数器,则可能会使得计数脉冲的负载加重,故选择采用异步十进制计数器芯片74LS90,其芯片的功能表如表2.2.1所示。 根据芯片功能表,当R9(1)、R9(2)保持低电平时,可以通过控制R0(1)、R0(2)两个引脚的电平高低来控制计数器工作状态。故通过逻辑控制电路向计数模块输入计数/清零信号,当该信号为高电平时,计数器计数。当该信号为低电平时,计数器清零。为了实现计数器之间的级联,将低位计数器的Q4输出端接至高位计数器的CKA输入端。当低位计数器的计数状态Q0Q1Q2Q3从1001变为0000即计数值从9变为0时,Q4会产生一个下降沿信号输入到高位计数器的CKA端,实现了低位计数器向高位计数器的进位功能。计数模块电路图如图2.2.2所示。 给电路加上计数/清零信号和被测信号后,使用逻辑分析仪去测量其中一个计数器芯片的输出,其输出结果如图2.2.3所示,其中A0-A3分别对应着计数器芯片的四个输出端Q0-Q3,A4为计数/清零信号。根据测量结果,计数器能够在计数信号有效时正常计数,在清零信号有效时保持清零状态。 根据设计方案,译码显示模块需完成对计数器的计数结果进行锁存、译码并且通过数码管显示出来。为了减少芯片数量,故选择自带锁存功能的译码器芯片CD4511,CD4511的功能表如表2.3.1所示。 根据CD4511功能表,数码管应选择共阴极数码管。逻辑控制电路给译码显示模块输入锁存译码信号,即CD4511芯片的 和 始终保持着接高电平,给芯片的LE端输入译码显示信号。当译码显示信号为高电平时,译码显示模块锁存在上一个LE=0时的状态,显示在高电平来临之前的状态,当译码显示信号为低电平时,译码显示模块就会实时刷新显示状态。译码显示模块电路图如图2.3.2所示。 闸门电路需根据闸门信号来控制被测信号是否能够输入到计数模块。当闸门信号为高电平时,被测信号能够正常地通过闸门电路输入到计数模块,而当闸门信号为低电平时,被测信号被闸门阻碍,无法通过闸门电路。 根据闸门电路的设计要求,可以采用二输入与非门来实现闸门信号对被测信号是否输入到计数模块的控制。如表2.4.1为四2输入与非门74LS00的功能表。若闸门信号输入到与非门的A端,被测信号输入到与非门的B端,根据其功能表可知,当闸门信号为高电平时,闸门电路的输出信号与被测信号反相,当闸门信号为低电平时,闸门电路的输出信号一直保持高电平,阻碍被测信号输入计数模块。闸门电路的电路图如图2.4.2所示。 向闸门电路输入闸门信号和被测信号,使用模拟示波器观察输出信号与两个输入信号的波形图,如图2.4.3所示。 如图所示,第一个信号为闸门电路输出信号,第二个为被测信号,第三个为闸门信号。当闸门信号为高电平时,被测信号能够正常地通过闸门电路输出到计数模块,当闸门电路为低电平时,闸门电路输出保持为高电平,导致被测信号无法传输到计数模块,满足设计要求。 根据设计方案,逻辑电路需要根据输入的时基信号,通过逻辑电路来产生控制计数模块的清零信号和控制译码显示模块的锁存信号,以此来实现数字频率计的自动测量和刷新功能。 译码显示模块需要通过逻辑控制电路产生一个译码信号来实现对译码显示模块的锁存数据和刷新数据的功能。译码信号是在计数模块测量完成之后,在清零信号有效之前进行锁存当前测量结果的数据,根据CD4511的功能表, 锁存信号是高电平有效,即在高电平时译码器对高电平来临之前的输入信号进行锁存并保持,在低电平时锁存失效,即根据输入信号的变化实时刷新输出信号。在逻辑控制电路内,为了让其基于时基信号产生锁存信号,采用了555构成的不可重复触发的单稳态触发器。 单稳态触发器的特点是电路有一个稳定状态和一个暂稳状态。在触发信号作用下,电路将由稳态翻转到暂稳态,但是暂稳态是一个不能长久保持的状态,由于电路中RC延时环节的作用,经过一段时间后,电路会自动返回到稳态,并在输出端获得一个脉冲宽度为 的矩形波。在单稳态触发器中,输出的脉冲宽度 ,就是暂稳态的维持时间,其长短取决于电路中电阻R和电容C的参数值。 由555构成的单稳态触发器电路及工作波形如图2.5.2所示。图中R,C为外接定时元件,输人的触发信号 接在555的低电平触发端(2脚)。稳态时,输出 为低电平,即无触发器信号( 为高电平)时,电路处于稳定状态且输出低电平。在 的负脉冲作用下,低电平触发端得到低于 ,输出 为高电平,放电三级管T截止,电路进入了暂稳态,定时开始。在暂稳态期间,电源→R→C→地,实现对电容的充电,充电时间常数T=RC, 按指数规律上升。当电容两端电压 上升到 后,6端为高电平,输出 变为低电平,放电三极管T导通,定时电容C充电结束,即暂稳态结束。电路恢复到稳态 为低电 平的状态。当第二个触发脉冲到来时,又重复上述过程。 根据上述555单稳态触发器电路原理, 从零电平上升到 的时间就是输出电压 的脉宽 ,其计算公式如公式5所示。 逻辑控制电路的原理图如图2.5.3所示,实现对输入的时基信号进行变换,转化为清零信号和锁存信号。 向逻辑控制电路输入时基信号,使用示波器测量其输入信号和输出的清零信号及锁存信号的波形图,如图2.5.4所示。锁存信号在时基信号的下降沿触发,一直持续到时基信号下一次下降沿之前才转化为低电平,清零信号与时基信号相比较发现清零信号在产生于时 基信号的上升沿,且比较短暂,仿真结果满足设计方案。 方波发生器的电路是以555多谐振荡器为模板,通过控制555多谐振荡器的电阻大小和电容大小来改变输出的矩形波的频率。具体的555多谐振荡器电路原理参见节2.2.1。根据设计要求,矩形波发生器的电路原理图如图2.6.1所示。 使用频率计测量矩形波发生器的输出频率,其最大频率与最小频率如图2.6.2所示。 在设计逻辑控制电路时,原本采取的设计方案是用单稳态触发器通过对时基信号的触发产生一个很窄的高电平脉冲信号作为清零信号,再对清零信号通过一个单稳态触发器产生一个很窄的低电平脉冲信号作为锁存信号。这个设计方案是基于所使用单稳态触发器为脉冲触发时,才可能使得单稳态触发器的暂稳态时间低于触发信号的脉冲宽度。但是所使用的单稳态触发电路是由555定时器芯片为核心搭建的,而根据555定时器芯片的功能表,如表3.1.1所示,555定时器搭建的单稳态触发电路为电平触发的单稳态电路,故修改设计方案,具体方案参考节2.5.1。 在制作频率计时,考虑到电路较为复杂,如果在洞洞板上搭建电路则在电路连接上会受限制,会使用大量的跳线或者杜邦线去连接电路,电路的稳定性和可靠性比较低。故采用设计PCB制作电路板的方式去实现电路。 但是在设计PCB时由于经验不足,在布线时将两个不同网络的线路和焊盘放的过近,导致所制作出来的电路板在有的地方发生了短路的现象,花费了大量的时间去排查和解决短路问题。在放置数码管与CD4511之间的限流电阻时,由于疏忽导致电阻的阻值不等,使得数码管亮度不均匀。 通过本次数字频率计课程设计,加强了我在数字电路方面的认识。在设计频率计的电路时,通过查找资料加深了对时序电路和逻辑电路的了解,同时也学会了如何去通过查阅芯片的数据手册来分析它的功能和建立时间、保持时间等一些参数,以此来选取符合设计功能的芯片。在电路的时序逻辑设计上,通过本次设计让我体会到了数字电路中的时序对于整个系统是否正常能够工作起着决定性作用。在时基电路和逻辑控制电路的设计中所运用的555定时器芯片搭建的不同功能的电路,让我对与单稳态电路和无稳态电路有直接的体会。在仿真电路,学会了使用Protues这一款电子电路仿真软件,并且通过虚拟示波器和逻辑分析仪去观察和分析电路的时序逻辑。 在制作实物时,使用了EDA电路设计软件来设计PCB和制作电路板来完成实物制作,在制作的过程中逐渐熟悉了软件的时候和画PCB的技巧。同时也发现了并非电路仿真成功电路就一定不存在问题。由于在实际电路中,各类元件的参数上的误差和焊接上的缺陷对电路都会造成影响。而且在制作电路时一定要认真检查,如果一处出现失误,会导致整个电路失去作用甚至烧坏电路。所以在制作实物时要足够的细心去排查电路故障产生的原因并且去修正它。 在短短几天的课程设计中,不仅加深了我对数字电路基础知识的掌握程度,而且还让我经历了一个电路从无到有的设计和制作过程,加深了对专业知识的理解,让我对专业知识的学习有了更大的兴趣和动力。
2023-09-07 18:50:451

数字频率计闸门预选时间为

1秒。根据查询道客巴巴网显示,数字频率计是按照计算每秒内待测信号的脉冲个数的基本原理来设计,此时取闸门时间为1秒。
2023-09-07 18:50:551

数字频率计的主要构成

频率计主要由四个部分构成:输入电路、时基(T)电路、计数显示电路以及控制电路。输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,若前级输入衰减为零时不能驱动后面的整形电路,则调节输入放大的增益,被测信号得以放大。时基和闸门电路:闸门电路是控制计数器计数的标准时间信号,被测信号的脉冲通过闸门进入计数器的个数就是由闸门信号决定的,闸门信号的精度很大程度上决定了频率计的频率测测量精度。当要求频率测量精度高时,应使用晶体振荡器通过分频获得。时基信号可由555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号。计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。控制电路:控制电路里面要产生计数清零信号和锁存控制信号。控制电路工作波形的示意图如下图。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。
2023-09-07 18:51:051

数字频率计

简易频率计一、设计任务与要求1.设计制作一个简易频率测量电路,实现数码显示。2.测量范围:10Hz~99.99KHz 3.测量精度: 10Hz。4. 输入信号幅值:20mV~5V。5. 显示方式:4位LED数码。二、方案设计与论证频率计是用来测量正弦信号、矩形信号、三角形信号等波形工作频率的仪器,根据频率的概念是单位时间里脉冲的个数,要测被测波形的频率,则须测被测波形中1S里有多少个脉冲,所以,如果用一个定时时间1S控制一个闸门电路,在时间1S内闸门打开,让被测信号通过而进入计数译码器电路,即可得到被测信号的频率fx。任务要求分析:频率计的测量范围要求为10Hz~99.99KHz,且精度为10Hz,所以有用4片10进制的计数器构成1000进制对输入的被测脉冲进行计数;要求输入信号的幅值为20mV~5V,所以要经过衰减与放大电路进行检查被测脉冲的幅值;由于被测的波形是各种不同的波,而后面的闸门或计数电路要求被测的信号必须是矩形波,所以还需要波形整形电路;频率计的输出显示要经过锁存器进行稳定再通过4位LED数码管进行显示。经过上述分析,频率计电路设计的各个模块如下图:方案一:根据上述分析,频率计定时时间1s可以通过555定时器和电容、电阻构成的多谐振荡器产生1000Hz的脉冲,再进行分频成1Hz即周期为1s的脉冲,再通过T触发器把脉冲正常高电平为1s;放大整形电路通过与非门、非门和二极管组成;闸门电路用一个与门,只有在定时脉冲为高电平时输入信号才能通过与门进入计数电路计数;计数电路可以通过5个十进制的计数器组成,计数器再将计的脉冲个数通过锁存器进行稳定最后通过4个LED数码显像管显示出来。方案二:频率计定时时间1s可以直接通过555定时器和电容、电阻构成的多谐振荡器产生1Hz的脉冲,再通过T触发器把脉冲正常高电平为1s;放大整形电路可以直接用一个具有放大功能的施密特触发器对输入的信号进行整形放大,其他模块的电路和方案一的相同。通过对两种方案的分析,为了减少总的电路的延迟时间,提高测量精确度,所以选择元件少的第二种方案。三、单元电路设计与参数计算时基电路:用555_VIRTUAL定时器和电容、电阻组成多谐振荡器产生1Hz的脉冲,根据书中的振荡周期 : T=(R1+R2)C*ln2 取C=10uF,R1=2KΩ,T=1s,计算得:R2=70.43KΩ,再通过T触发器T_FF把脉冲正常高电平为1s的脉冲,元件的连接如下: 经示波器仿真,产生的脉冲的高电平约为1S。放大整形电路:用一个74HC14D_4V的含放大功能的施密特触发器对输入脉冲进行放大整形,把输入信号放大整形成4V的矩形脉冲,其放大整形效果如下图:闸门电路:用一个与门74LS08作为脉冲能否通过的闸门,当定时信号Q为高电平时,闸门打开,输入信号进入计数电路进行计数,否则,其不能通过闸门。计数电路:计数电路用5(4)片74192N计数器组成100000(10000)进制的计数电路,74192N是上升沿有效的,来一个脉冲上升沿,电路记一次数,所以计数的范围为0~99999(5000)。但计数1S后要对计数器进行清零或置零,在这里用清零端,高电平有效,当计数1S后,Q为低电平,Q"为高电平,所以用Q"作为清零信号,接线图如下:锁存显示电路:当计数电路计数结束时,要把计得脉冲数锁存通过数码显示管稳定显示出来。锁存器用2片74ls273,时钟也是上升沿有效,当Q为下降沿时,Q"恰好是上升沿,所以用Q"作为锁存器的时钟,恰能在计数结束时把脉冲数锁存显示,电路的接线图如下:四、总电路工作原理及元器件清单1.总原理图2.电路完整工作过程描述(总体工作原理) 555组成的多谐振荡器产生1Hz的脉冲,经过T触发器整形成高电平时间为1S的脉冲,高电平脉冲打开闸门74LS08N,让经施密特触发器74HC14D放大整形的被测脉冲通过,进入计数器进行1S的计数。当计数结束时,T触发器的Q为下降沿,Q"刚好为上升沿,触发锁存器工作,让计数器输出的信号通过锁存器锁存显示,同时,高电平的Q"信号对计数电路进行清零,此后,电路将循环上述过程,但对于同一个被测信号,在误差的允许范围内,LED上所显示的数字是稳定的。3.元件清单元件序号 型号 主要参数 数量 备注 1 74192 5 加法计数器 2 74LS273 2 锁存器3 DCD_HEX 4 LED显示器4 555_VIRTUAL 1 定时器5 T_FF 1 T触发器6 CAPACITOR_RATED 电容10Uf、额定电压50V 1 电容7 CAPACITOR_RATED 电容10Nf、额定电压10V 1 电容8 RES 阻值2KΩ 1 9 RES 阻值 1 10 74LS08 1 双输入与门11 74HC14D_4V 1 施密特触发器,放大电压4V12 AC_VOLTAGE 1 可调的正弦脉冲信号五、仿真调试与分析把各个模块组合起来后,进行仿真调试以达到任务要求。① 在信号输入端输入10Hz的交流脉冲,仿真,结果如下:说明仿真的结果准确② 在信号输入端输入300Hz的交流脉冲,仿真,结果如下:仿真结果准确③ 在信号输入端输入3KHz正弦脉冲,仿真,结果如下:④输入20KHz的正弦脉冲,仿真,结果如下:仿真结果结果与实际的结果相差20Hz,这说明频率越高,误差越大。经分析,这是由于各个元器件存在着延迟时间,1S的脉冲,经过各个元器件的延迟,计数时间会大于1s,频率越高,误差越大,所以计数的时间要稍微小于1S,调小时基电路的R3为70.23KΩ,仿真,结果如下:还是存在误差,经过多次调节R3仿真,最后确定R3为70.06 KΩ时对于各个频率的测试都比较准确,20KHz时仿真结果如下: 所以R3为70.06KΩ是测得的各个频率值都比较准确,且电路设计都符合测任务要求。六、结论与心得 在这次课程设计的过程中,我收获不少。首先,我学会了把一个电路分成模块去设计,最后再整合,这样可以把一个复杂的电路简单化了,并且这样方便与调试与修改;其次,设计有助了我去自学一些元器件的功能,去运用它;再次,我也初步会用multisim软件设计电路;最后,这次课程设计也提高了我查找问题、思考问题和解决问题的能力,还锻炼了我的耐性。在这次课程设计中也遇到了很多问题,首先,是对元器件了解不多,对于要实现某种功能不知道用那一种元件,所以问同学,上网收索,再了解这种元件的逻辑功能,学会去用它;其次,不大会用电路设计软件,一开始用EWB软件设计,对模块仿真可以,但整合整个原理图仿真却不行,通过示波器观察输出波形发现脉冲走了一小段却停止了,以为是电路有问题,就查找了很多遍才找出问题,原来在那个软件仿真时是不允许存在两个信号,所以重新用multisim设计,才可以;最后,在用multisim仿真高频率时仿真速度极慢,所以调整了软件的仿真最大步长,但问题又出现了,信号紊乱,数码管显示数字不一,然后就猜想会不会是元件的问题,太高频率元件来不及反应就输出结果,但上网寻找答案,原来是软件的仿真步长会影响仿真的精确度,所以,某一范围的频率仿真,要用相应的最大仿真步长。这个题目的设计花了自己不少心血,有时甚至一整天在弄,但是当自己成功地设计出电路时所获得的那一份成就感是无法表达的,所以整个电路的设计过程充满着苦恼与乐趣。七、参考文献 [1] 阎石 《数字电子技术基本教程》第一版 ,清华大学出版社,2007.08
2023-09-07 18:51:221

数字频率计的基本原理

测量频率的方法有很多,按照其工作原理分为无源测量法、比较法、示波器法和计数法等。计数法在实质上属于比较法,其中最常用的方法是电子计数器法。电子计数器是一种最常见、最基本的数字化测量仪器。数字计数式频率计能直接计数单位时间内被测信号的脉冲数,然后以数字形式显示频率值。这种方法测量精确度高、快速,适合不同频率、不同精确度测频的需要。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。由于数字电路的飞速发展和集成电路的普及,计数器的应用十分广泛。利用电子计数器测量频率具有精度高,显示醒目直观,测量迅速,以及便于实现测量过程自动化等一系列突出优点,所以该方法是目前最好的。
2023-09-07 18:51:341

数字频率计能干什么

测量正弦波等周期信号的频率值。在电子技术领域,频率是一个最基本的参数。数字频率计作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度等通过传感器转换成信号频率,可用数字频率计来测量。尤其是将数字频率计与微处理器相结合,可实现测量仪器的多功能化、程控化和智能化.随着现代科技的发展,基于数字式频率计组成的各种测量仪器、控制设备、实时监测系统已应用到国际民生的各个方面。补充:数字频率计是采用数字电路制做成的能实现对周期性变化信号频率测量的仪器。频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。通常说的,数字频率计是指电子计数式频率计。中文名:数字频率计外文名:digital frequency meter别称:电子计数式频率计优点:测量迅速,精度高,显示直观
2023-09-07 18:51:543

跪求:《数字频率计的设计》 原理,方框图,电路图!

数字频率计的原理数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。数字频率计由四部分组成:时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。由555定时器,分级分频系统及门控制电路得到具有固定宽度T 的方波脉冲做门控制信号,时间基准T 称为闸门时间.宽度为T 的方波脉冲控制闸门的一个输入端 B.被测信号频率为 fx,周期 Tx,到闸门另一输入端 A.当门控制电路的信号到来后,闸门开启,周期为Tx 的信号脉冲和周期为T 的门控制信号结束时过闸门,于输出端C 产生脉冲信号到计数器,计数器开始工作,直到门控信号结束,闸门关闭.,单稳1 的暂态送入锁存器的使能端,锁存器将计数结果锁存,计数器停止计数并被单稳态清零,在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确。 因此,可得出数字频率计的原理框图如下:数字频率计的设计多周期同步测量法的基本思路是使被测信号与闸门之间实现同步化,从而从根本上消除了在闸门时间内对被测信号进行计数时的±1量化误差,使测量精度大大提高。倒数计数器就是基于该方法而设计出来的一种具有创新思想的测频、测周期的仪器。它采用多周期同步测量法,即测量输入多个(整数个)周期值,再进行倒数运算而求得频率。其优点是:可在整个测频范围内获得同样高的测试精度和分辨率。(一)系统级方案设计在选择多周期同步等精度测量法的情况下,按照自顶向下的设计方法,可以画出该频率计的系统级框图,如图1所示。根据测周期、频率的原理,可以将总体框图分为三个子系统:输入通道(即前置整形电路)该部分主要由模拟电路组成的;多周期同步等精度频率、周期的测量、控制及功能切换(中间部分),该部分基本上由数字硬件电路组成;单片机及外围电路,包括单片机、数码显示。图 1 频率计的系统方框图(二)子系统设计1.输入通道的设计。输入通道是由前置放大器和整形器组成的,所以要对前置放大器的增益和带宽指标进行估计。为了能准确测量信号,将输入信号经过一个放大整形电路。其具体实施方案为:将输入信号经过LM358运放放大,再通过74LS132整形,此时的信号还不能直接送入单片机,这是因为在硬件上CPU对INT0和INT1引脚的信号不能控制,解决这个问题要通过硬件,再配合软件来解决。2.预置闸门时间发生电路设计。闸门时间的确定,可以先由一个555定时器产生一个脉冲信号,将555产生的脉冲信号送入到74LS90十进制计数器当中,由于74LS90具有二-五进制混合计数的功能,所以可以用它来实现五进制计数,将74LS90的输出接到3—8线译码器74LS138的输入端,再将译码器的输出端接上五个发光二极管,这样就可以实现硬件上的闸门时间控制。但是考虑到硬件实现上的复杂性,可以通过软件上来实现,就是将五个发光二极管直接接到单片机的P1口由软件上来实现,通过按键来改变它的闸门时间。3.数码显示电路的设计。该部分电路是由单向八位移位寄存器74LS164和数码管组成的。考虑到精度的问题,取五位计数值,采用五片74LS164级联,同时还要显示频率和周期的单位,所以还需再级联一块74LS164,在74LS164的输出端接六个单位指示灯,分别表示周期频率的三个不同的单位数量级,即周期单位s,ms,μs和频率单位Hz,KHz及MHz。移位寄存器的时钟信号是由单片机的串行输出口TXD脚控制。数字频率计的技术指标测量 频率、频率比、时间间隔、周期、上升/下降时间、正/负脉冲宽度、占空比、相位、总合、峰值电压、平均时间间隔、时间间隔延迟分析 自动极限测试、数学运算(定标、偏置)、统计(最小、最大、平均、标准偏差)。统计功能可适用于全部测量结果或在极限内的测量结果。测量功能频率范围 CH1&2:DC-225MHz频率分辨率 12字/s测量速度 可达200测量/秒时间间隔分辨率 150ps输入调节 (CH1&2可独立选择)阻抗、耦合 1MΩ或50Ω,ac或dc低通滤波器100kHz,可切换衰减器 x1或 x10外部时基基准输入 10MHz触发 CH1&2上升/下降沿触发,按信号电平的百分数或绝对电压设置出发电平,灵敏读设置为Low,Med或High。闸门和待命 自动、手动(设置闸门时间或分辨率位数)外部;延迟接口 带SCPI兼容语言和标准GPIB(IEEE 488.1和488.2)RS-232只讲工作方式。
2023-09-07 18:52:031

做简易数字频率计时用CD4511接数码管就不亮,用7448就亮,求问怎么才能用CD4511也能亮?

他咯噢噢噢哦哦
2023-09-07 18:52:2511

大哥大姐帮忙看下这个数字频率计原理图的原理!明天要用急!!

你这个图没截好,实在看不清。大约看了一下,大概的工作流程应该如下:1、图左下的电路好像是555芯片,用来作为基准信号源的,就是产生基准频率(可以理解为一个标准的时间,用来确定对外部输入信号的计数时间。如基准时间是1秒,在1秒时间内如果频率接受电路收到2个触发电平就是2hz)2、图右边的一堆分离元件电路应该是外部输入信号的放大整形电路。3、图当中的4颗并排的芯片是计数器,用来确定输入频率。4、最上面是静态LED数码管驱动电路,LED数码管的输出数据来自计数器。不知这样解释,你能不能明白
2023-09-07 18:52:551

简易数字频率计的设计

进大学生电子实验室网站,可以找到你要的答案
2023-09-07 18:53:075

数字频率计测频率和测周期的原理是什么?

自己去看
2023-09-07 18:53:482

简易数字频率计怎么弄?

课程设计 简易数字频率计2008-10-18 13:16课题名称:简易数字频率计主要技术指标和要求:(1) 被测信号的频率范围100Hz~10kHz(2) 输入信号为正弦信号或方波信号(3) 四位数码管显示所测频率,并用发光二极管表示单位(4) 具有超量程报警功能【摘 要】在电子系统非常广泛应用领域内,到处可见到处理离散信息的数字电路,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,文章主要阐述了选择单片机作为核心器件,采用模块化布局,设计了一个简易数字频率计的过程。设计思路频率计是直接用十进制来显示被测信号频率的一种测量装置,它可以测量正弦波 方波和三角波的频率,利用施密特触发器将输入信号整形为方波,并利用计数器测量1S内脉冲的个数,利用锁存器锁存,稳定显示在数码管上常用的频率测量方法(1) 测频法测频法的基本思想是:对频率为f的周期信号,用一个标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其信号频率为如图测频法的测量误差与信号频率有关:信号频率越高误差越小;而信号频率越低,则测量误差越大,因此,测频法适合于对高频信号的测量,测量越高,测量精度也就越高(2) F/V 与A/D法这种测量方法是先通过F/V变换,把频率信号转换成电压信号;然后再通过A/D转换把电压信号转换成数字信号,在对数字信号进行计数,从而得到测量信号的频率根据性能与技术指标的要求,首先需要确定能满足这些指标的频率测量方法,根据上述频率测量原理与方法的讨论,本设计采用测频法由于测频法的测量误差与信号频率成反比:信号频率越低,测量误差越大,信号频率越高,测量误差越小。用测频发所获得的测量数据,在闸门时间为1S时,不需要进行任何换算,计数器所计数据就是信号频率,另外,在信号频率较低时,可以通过增大闸门时间来提高测量精度电路设计用测频发构成的数字频率计的原理框图如图示(1) 放大整形电路由二极管及电阻构成的幅度扩展电路和555构成的施密特触发电路构成整形电路,如下图示二极管D1 D2 及电阻R1R2构成信号幅度扩展电路,当输入信号较小时,限幅器的二极管均截至,不起作用。用555构成的施密特触发器作用是将输入的周期性信号,如正弦波三角波或其他呈周期性变化的波形换成脉冲波形,其周期不变(2) 时基电路时基电路的作用是控制计数器的输入脉冲。当标准时间信号到来时,闸门开通,被测信号通过闸门进入计数器计数,当标准脉冲结束时,闸门关闭,计数器无脉冲输入,时基电路可以由555定时器构成的多谐振荡器实现 如下图产生的方波信号高电平时间长度为1S,低电平时间长度为0.25s。利用公式t1=0.7(R1+R2)t2=0.7R2C计数参数,参数如上图(3) 控制电路控制电路可以由555构成的单稳态电路构成 如下图逻辑控制电路利用标准时间信号结束后产生的负跳变来产生锁存信号,同时锁存信号经反相又产生清零信号,锁存信号的脉冲宽度由单稳态电路本身的时间常数决定(4) 计数 锁存 译码 显示电路计数电路用4个同步十进制加法计数器构成,可以选择同步十进制加法计数器74LS160同步十进制可逆计数器 74LS190或 74LS192 双 BCD码计数器CD4518等集成电路来实现,译码器可采用共阴极显示译码器 74LS48或共阳极显示译码器74LS47,具体根据数码管的型号来确定。锁存器则可选用 8D锁存器74LS373或 74LS273锁存器的作用是将计数器在1s结束时的计数值进行锁存,使显示器上获得稳定的测量值,当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,从而将计数器的输出值送到锁存器的输出端。正脉冲结束后,输出不在改变(5) 总电路图收获与体会:通过本次课程设计,体会到了设计的艰辛,第一部分为课题的初步考虑。通过查资料找线索,提出不同方案,并对各种方案进行比较讨论,选取了最好的方案而且对总框图进行构思和设计第二部分为系统的详细设计。这一部分是最有挑战性的。为了实现各模块的功能而苦苦奋战。经过无数次修改而成功。初步尝到成功的喜悦。增强了进一步设计的信心。第三部分为系统完成下载阶段。这阶段也遇到困难。不过解决起来容易多了。对各种问题有了经验。测试,下载,连线。终于设计初步完成了。
2023-09-07 18:53:591

请大家告诉我数字频率计有哪些广泛用途和发展前景?

楼主考虑问题很周到。 频率测试是电子学中最基本的测量之一。其基本原理就是用闸门计数的方式测量脉冲个数。 但有几个问题你考虑不周,或未理解(或知识面不够?): 1、频率计通常是边沿触发,而不是过零触发 2、用10s闸门获取0.1Hz分辨率的频率测试技术是存在的,早期很多产品就是如此,其闸门时间可以在1ms-10s多个档位中选择。 3、现代频率测量(或者说是智能频率计)早已突破上述方式,通常对1Hz以上的测量仍用传统方式,而对1Hz以下的测量用计时方式反算频率。 4、另外,还有游标测量等多种方式 如果想了解得详细一些,找几本书看看吧,学问深着呢。 智能频率计可测的频率范围非常宽,从0.00001Hz到若干GHz都能测量而且保证分辨率和精度
2023-09-07 18:54:101

如何扩展数字频率计的频率测量范围

如何扩展数学频率?记得频率测量范围,通过网络信息去测量一下,就能知道在什么范围了
2023-09-07 18:54:1913

基于51单片机数字频率计论文

1.绪论1.1 数字频率计的发展现状及研究概况 随着电子技术的飞速发展,各类分立电子元件及其所构成的相关功能单元,已逐步被功能更强大、性能更稳定、使用更方便的集成芯片所取代。由集成芯片和一些外围电路构成的各种自动控制、自动测量、自动显示电路遍及各种电子产品和设备。数字系统和数字设备已广泛应用于各个领域,更新换代速度可谓日新月异。 在电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。供消费用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急救系统等在设计过程中无一不用到数字技术。数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。 数字频率计是现代通信测量设备系统中不可缺少的测量仪器,不但要求电路产生频率准确的和稳定度高的信号,而且能方便的改变频率。 数字频率计主要实现方法有直接式、锁相式、直接数字式和混合式四种。 直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。 锁相式的优点是相位同步的自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。 直接数字式的优点是电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。 随着单片锁相式数字频率计的发展,锁相式和数字式容易实现系列化、小型化、模块化和工程化,性能也越来越好,已逐步成为两种最为典型,用处最为广泛的数字频率计。 1.2 本课题研究背景及主要研究意义 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。在数字电路中,频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,频率计是用量最大、品种很多的产品。本课题采用的是直接数字式的频率计,设计原理简单,是全硬件电路实现,电路稳定、精度高,大大的缩短了生产周期。 1.3 本课题主要研究内容 本课题采用数字电路来制作一个1HZ—1MHZ的数字频率计,并将所需得到的频率通过数码管显示出来。 数字频率计主要由四部分组成:时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。原理框图如图1-1: 图1-1 原理框图
2023-09-07 18:54:431

数字频率计的数码管一直显示000是为什么?

程序呢?计数器没有配置好或者没有启动?显示程序错误?
2023-09-07 18:55:063

简易数字频率计 verilog语言设计

假设 秒时间高电平为1秒钟。参考代码如下,module button( clk, rst, pp1s, disp); input rst,clk;input pp1s; //秒时钟基准output reg [7:0] disp[8:0]; //9个10进制数码管显示。reg reg [3:0] cnt[8:0]; //9个十进制。always@(posedge clk_dut or negedge rst) if(!rst) begin cnt[0] <= 3"b0; cnt[1] <= 3"b0; ...... cnt[8] <= 3"b0; end else if (!pp1s) begin cnt[0] <= 3"b0; cnt[1] <= 3"b0; ...... cnt[8] <= 3"b0; end else begin if (cnt[0] >= 4"h9) cnt[0] <= 4"b0; else cnt[0] <= cnt[0] + 1"b1; if (cnt[0] >= 4"b9) begin if (cnt[1] >= 4"h9) cnt[1] <= 4"b0; else cnt[1] <= cnt[1] + 1"b1; end if (cnt[0] >= 4"b9 && cnt[1] >= 4"b9) begin if (cnt[2] >= 4"h9) cnt[2] <= 4"b0; else cnt[2] <= cnt[2] + 1"b1; end ..... if (cnt[0] >= 4"b9 && cnt[1] >= 4"b9 && cnt[2] >= 4"b9 && cnt[3] >= 4"b9 && cnt[4] >= 4"b9 && cnt[5] >= 4"b9 && cnt[6] >= 4"b9 && cnt[7] >= 4"b9) begin if (cnt[8] >= 4"h9) cnt[8] <= 4"b0; else cnt[8] <= cnt[8] + 1"b1; end end//数码管编码 always@(*) case (cnt[0]) 4"h0 disp[0] = 0x3f; 4"h1 disp[0] = 0x06; 4"h2 disp[0] = 0x5b; 4"h3 disp[0] = 0x4f; 4"h4 disp[0] = 0x66; 4"h5 disp[0] = 0x6d; 4"h6 disp[0] = 0x7d; 4"h7 disp[0] = 0x07; 4"h8 disp[0] = 0x7f; 4"h9 disp[0] = 0x6f; default:disp[0] = 0x3f; endcase always@(*) case (cnt[8]) 4"h0 disp[8] = 0x3f; 4"h1 disp[8] = 0x06; 4"h2 disp[8] = 0x5b; 4"h3 disp[8] = 0x4f; 4"h4 disp[8] = 0x66; 4"h5 disp[8] = 0x6d; 4"h6 disp[8] = 0x7d; 4"h7 disp[8] = 0x07; 4"h8 disp[8] = 0x7f; 4"h9 disp[8] = 0x6f; default:disp[8] = 0x3f; endcaseendmodule
2023-09-07 18:55:171

这是数字频率计的原理图,谁可以给我详细的解释下这张图,特别是一些器件的作用和接线方式的原理

1.32.768KHz晶振经4060 14分频+两个4013二分频可以在89C51的6脚得到一个0.5Hz(50%占空比)的方波。2.三个74HC90做十进制分频器并级联,在11,12,13,14标号线路分别可以得到input,input/10,input/100,input/1000的输入信号。通过cd4051做四路开关切换,选择一路输入进89C51的T0(标号8)来计数。3.计算在89C51外部中断INT0(标号6)1S时间(一个高电平时间)内T0计数值,就可以算出INPUT频率。具体器件接法LZ可以搜datasheet.
2023-09-07 18:55:271

数字频率计设计

这是基本这个是提高,咱两的题目一模一样
2023-09-07 18:55:381

51单片机制作简易数字频率计程序

1MHz,这个频率要求的高了一些。先看看:http://hi.baidu.com/%D7%F6%B6%F8%C2%DB%B5%C0/blog/item/b20572ca80ebe3f053664f7b.html/cmtid/7f5d2259d585a88f810a184e#7f5d2259d585a88f810a184e
2023-09-07 18:56:011

求51单片机设计数字频率计,附带Proteus仿真和程序

单片机的要求数字那好
2023-09-07 18:56:192

数字频率计中4026,4541,4528,4093分别是什么功能的集成电路

4000系列CMOS数字逻辑电路中,4026是十进制计数器/七段译码器/驱动器。,4541是可编程序振荡器-计时器,4528是双单稳态触发器,4093是2输入端四与非施密特触发器。
2023-09-07 18:56:571

数字频率计设计LCD显示:测量函数信号发生器产生的方波频率,测量频率范围为1-250khz精度为1hz。

实现这样的测量并不困难。不过,个人觉得,重要的是设计思路,直接求程序,你获取的不是知识,遇到问题也不能自己解决。你的频率测量范围较宽,采用两个定时器的思路是对的。不过,没有必要限制在1S内对方波进行计数。一般思路是,对于较高频率的信号测量,采用固定时间T(不一定是1S)对脉冲数N进行计数,频率F=N/T。对于较低频率的信号测量,对固定周期数的脉冲(脉冲数为N,N常取1或更大的数)进行计时(时间为T),频率F=N/T。这样做的好处是,高频时,可以测量较快,低频时,测量较慢(这是必然的,最快就是N=1时),但是,都可以获取较高的测量精度。低频时,精度远远高于1Hz。没按你的要求发程序,打搅勿怪,有疑问欢迎交流!
2023-09-07 18:57:071

基于51单片机的数字频率计(0—10MHZ)

需要进行分频
2023-09-07 18:57:181

数字频率计中为什么引入时基信号电路?及其工作原理?

数字频率计是一种是一种具有时间阀门的计数器,开门时间为一秒,届时看看具有多少个脉冲输入。所谓时基环节就是制造非常标准的一秒钟阀门的准确时间的电路。那一秒钟近来的脉冲个数叫做频率。
2023-09-07 18:57:461

数字频率计中4026,4541,4528,4093分别是什么功能的集成电路

4026是10进计数器,内置1位7划管输出;4093是4组2-输入与非门施密特触发器;4528是2组单稳态多谐振荡器;4541是可编程计时器。如需要更多资料,留个电邮地址,我把pdf档案发给你。
2023-09-07 18:57:541

基于51单片机的数字频率计毕业论文

第1节引言21.1数字频率计概述21.2频率测量仪的设计思路与频率的计算21.3基本设计原理3第2节数字频率计(低频)的硬件结构设计42.1系统硬件的构成42.2系统工作原理图42.3AT89C51单片机及其引脚说明52.4信号调理及放大整形模块72.5时基信号产生电路72.6显示模块8第3节软件设计123.1定时计数123.2量程转换123.3BCD转换123.4LCD显示15第4节结束语16参考文献20附录汇编源程序代码28
2023-09-07 18:58:021

数字频率计仿真电路图的锁存功能,我用的CD4511,他的锁存端LE我不知道接什么,求指导

锁存端LE直接接电源地,不锁存,计数器输入的BCD直接就在4511上显示出来了。要控制LE端,得有控制的输入引脚。当LE高电平时,4511显示的是高电平前LE低电平时输入的BCD值。
2023-09-07 18:58:251

用数字频率计测量1KHZ方波信号的频率,结果显示为几十KHZ且不稳定的原因及解决方法

申诉,用多个好友辅助应该可以,再如果过不了建议打电话给腾讯客服,跟客服说明情况,一般客服介入会比较方便,但是要等好多程序。
2023-09-07 18:58:353

要求设计一个简易的数字频率计,其信号是给定的比较稳定的脉冲信号。

没有问题,简易的数字频率计我可以给。
2023-09-07 18:58:461

数字频率计设计的仿真图查错

1)这个振荡器电路要连接电源;2)74LS90绿色圈圈中的4个引脚要一起接低电平;3)74LS273中的 CLK 和 CLR 要分开,CLR接高电平(清零的话数码管显示就会闪烁),同时 CLK的频率要是计数脉冲频率的4倍;
2023-09-07 18:58:541

做数字频率计为什么可以运行,但是数码管只显零,不变数字

如果您说的是桌面图标下面的字有阴影,可以尝试以下几种方法(如果你在桌面进行了粘贴前三项无效,请你选用第四和第五项修复试试):1、右击桌面/排列图标/将“在桌面上锁定定Web项目”前的勾去掉。2、右击我的电脑/属性/高级/性能中的设置/在视觉效果的下拉列表中勾选“在桌面上为图标标签使用阴影”,然后按应用确定即可。3、如果故障依旧,可尝试下面的方法。右击桌面空白处,依次单击“属性/桌面/自定义桌面/web”选项,将“网页”栏中的“当前主页”以及“http//......”等所有各项前面的勾全部去掉(“http//……”为从Internet添加网页或图片的地址,一般不需要,可将它们全部删除),并将下面“锁定桌面项目”前面的勾也去掉,单击确定完成设置。4、另外有一种情况就是安装了某种程序之后(比如系统提示:是否将该Active Desktop项添加到您的桌面上),桌面文字变的不透明。在“运行”中输入“gpedit.msc”,回车打开组策略,在左侧选用户配置/管理模板/桌面/Active Desktop/在右侧双击“启用Active Desktop” ,在打开的页面选“已禁用” ,接着双击“禁用Active Desktop”在打开的页面中选“已启用”按确定重启电脑试试。5、实在不行,可以下载360卫士8.1版以上内置有360电脑门诊或360电脑救援有修复桌面图标阴影选项请修复,在左侧选系统图标,在选右侧图标有阴影/立即修复(下载这个软件还可以得到360专家在线帮助,解决图标阴影的问题)。6、如果故障依旧,还原一下系统或重装(总是不能解决,还原一下系统或重装吧)。
2023-09-07 18:59:181

数字频率计的计数显示电路,无论输入的是多少频率的脉冲,数码管只显示888,要怎么改?

两个74ls273中的CLR是低电平有效,试试空接或接Vcc。
2023-09-07 18:59:281

数字频率计的频率范围是由什么决定的

数字频率计是采用数字电路制做成的能实现对周期性变化信号频率测量的仪器。频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。通常说的,数字频率计是指电子计数式频率计。测量频率的方法有很多,按照其工作原理分为无源测量法、比较法、示波器法和计数法等。计数法在实质上属于比较法,其中最常用的方法是电子计数器法。电子计数器是一种最常见、最基本的数字化测量仪器。数字计数式频率计能直接计数单位时间内被测信号的脉冲数,然后以数字形式显示频率值。这种方法测量精确度高、快速,适合不同频率、不同精确度测频的需要。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。由于数字电路的飞速发展和集成电路的普及,计数器的应用十分广泛。利用电子计数器测量频率具有精度高,显示醒目直观,测量迅速,以及便于实现测量过程自动化等一系列突出优点,所以该方法是最好的。在电子技术领域,频率是一个最基本的参数。数字频率计作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度等通过传感器转换成信号频率,可用数字频率计来测量。尤其是将数字频率计与微处理器相结合,可实现测量仪器的多功能化、程控化和智能化.随着现代科技的发展,基于数字式频率计组成的各种测量仪器、控制设备、实时监测系统已应用到国际民生的各个方面。
2023-09-07 18:59:481

数字频率计中为什么数值越大,误差越大?

一、设计任务与要求1.设计制作一个简易频率测量电路,实现数码显示。2.测量范围:10Hz~99.99KHz3.测量精度: 10Hz。4. 输入信号幅值:20mV~5V。5. 显示方式:4位LED数码。二、方案设计与论证频率计是用来测量正弦信号、矩形信号、三角形信号等波形工作频率的仪器,根据频率的概念是单位时间里脉冲的个数,要测被测波形的频率,则须测被测波形中1S里有多少个脉冲,所以,如果用一个定时时间1S控制一个闸门电路,在时间1S内闸门打开,让被测信号通过而进入计数译码器电路,即可得到被测信号的频率fx。
2023-09-07 19:00:082

一般使用的数字频率计的相对误差是多少????

http://wenku.baidu.com/view/eec7cb68561252d380eb6e35.html
2023-09-07 19:00:181

4位数字频率计课程设计(EDA原理图)

2023-09-07 19:00:251

数字频率计计数不准确怎么办

检查基准,检查软件算法。详情参考中国电子DIY之家有关资料和制作实例
2023-09-07 19:00:413

有在淘宝上买过单片机数字频率计设计的吗

没买过,但自己做过
2023-09-07 19:01:441

时标信号在数字频率计里怎么用?

1M是个参考时钟,比如经过多少个时钟周期产生你要的频率
2023-09-07 19:01:541

频率计清零和保持电路的原理

4.2.3简易数字频率计电路设计数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。一、设计目的1. 了解数字频率计测量频率与测量周期的基本原理;2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。二、设计任务与要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1.测量范围:1HZ—9.999KHZ,闸门时间1s;10 HZ—99.99KHZ,闸门时间0.1s;100 HZ—999.9KHZ,闸门时间10ms;1 KHZ—9999KHZ,闸门时间1ms;2.显示方式:四位十进制数3. 当被测信号的频率超出测量范围时,报警.三、数字频率计基本原理及电路设计所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:图4-2-6数字频率计原理图从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。1.放大整形电路放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。2.时基电路时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。(1)555多谐振荡电路产生时基脉冲采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。(2) 分频电路由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。图4-2-7 555多谐振荡电路3. 逻辑控制电路在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路4.锁存器锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器的输出值送到锁存器的输出端。正脉冲结束后,无论D为何值,输出端Q的状态仍保持原来的状态Qn 不变.所以在计数期
2023-09-07 19:02:031

数字频率计的闸门时间?

简易的数字频率计,比较难以制作测量100MHz的频率,需要专门的放大,整形电路作为信号处理,还要精密的闸门时间电路配合。没有足够的经验不易制作。
2023-09-07 19:02:141

各位老铁,小弟在论文进行答辩的时候碰到了点问题,论文题目是基于51单片机的数字频率计设计

1。单片机测量的是方波信号,如果是其它波形或幅度不合适,就进行放大和整形2。.该信号进入单片机的哪个引脚,如果用定时器0作为计数器,输入引脚是P3.4如果用定时器1作为计数器,输入引脚是P3.5 如果测单个脉冲宽度(或周期),可以输入任意引脚,但最好用中断引脚P3.2或P3.33。.信号进入引脚之后怎么计算它的程序用另外一个定时器定时50ms,测50ms内有多少个脉冲输入,然后乘以20(即1S)即是频率
2023-09-07 19:02:231

帮我设计一个具有选频特性的简易两位数字式频率计电路。有思路也行。。先谢谢了~~

选频 可以考虑变容二极管啊 频率统计用单片机就好 如果测量频率很高 建议分频后在统计
2023-09-07 19:02:313

用CPLD设计的频率计有什么好处

2023-09-07 19:02:403

2010山东省大学生电子设计大赛器件清单

http://www.jpkc.sdu.edu.cn/sddxs/html/jingsaitongzhi/2010/0909/1052.html
2023-09-07 19:02:492

在数字频率计中,逻辑控制电路的作用是什么?是否可以用其他的器件来实现逻辑控制功能?画出设计的逻辑控

TTL门电路的输入端悬空时相当于高电平输入输入端接有电阻时其电阻阻值大于1.4K时该端也相当于高电平电阻值小于0.8K时该端才是低电平。而CMOS逻辑门电路输入端不管是接大电阻还是接小电阻该端都相当于低电平即地电位。按照这个原则判断很清晰了,A和B
2023-09-07 19:03:111

四位十进制数字频率计VHDL程序 10~9999KHZ

9999+1
2023-09-07 19:03:201