barriers / 阅读 / 详情

Altera有类似xilinx的RocketIO GTP高速串行收发器吗?

2023-05-28 21:51:51
共1条回复
皮皮

有, 是兼容的。 altera的芯片,和xilinx的芯片, 只要设置的速度相同,可以直接连接通讯。可以实现同样的功能

相关推荐

倒底是Altera的FPGA好,还是 Xilinx的FPGA好

本人用过cyclone和spartan系列的FPGA,现就开发工具及开发流程对这两家FPGA进行对比。[神马] 一、 开发工具Altera的开发工具有Quartus II 、Sopc builder、Nios II、signal tap II、DSP Builder;Xilinx的开发工具有ISE、EDK、SDK、ChipScope 、System Generator;Quartus II相对于ISE,都是逻辑设计软件,功能相当;Sopc builder相对于EDK,用来建立软核,Sopc builder是生成bsf文件与quartus接口,生成ptf文件与nios接口,而edk则可直接生成目标文件(bit),而且还可以用EDK进行软件设计,也就是说EDK可以不依赖ISE和SDK就可独立完成一个设计。相比之下EDK要胜sopc builder一筹。Nios II相对于SDK,两者功能相当,而且界面相似度达到99%。用SDK进行软件开发比在EDK中还是要好一些,界面比EDK中的友好。signal tap II相对于ChipScope,嵌入式逻辑分析仪,方便调试;DSP Builder相对于System Generator用来建立DSP的算法模块。由于没用过ChipScope和System Generator,所以不做分析。 二、 开发流程先说说ALTERA的SOPC开发流程硬件设计首先,通过QUARTUS II建立工程,新建一个Block Diagram/Schematic File文件;再打开SOPC Builder建立CPU系统,添加IP,点击Genenater生成.bsf和.ptf目标文件;再回到QUARTUS II,将bsf文件导到入Schematic中,分配引脚,编译生成sof和pof文件。硬件设计算是完成。软件设计打开nios II,新建工程,select target hardware为前面生成的pft文件,建立软件程序,编译生成elf文件。下载调试先通过JTAG接口下载sof文件(硬件),再下载elf文件查运行或debug。固化通过AS接口下载POF文件,再通过JTAG下载ELF文件。 再看看xilinx 的sopc开发流程硬件设计打开EDK,建立CPU系统,添加IP,点击update bitstream,生成硬件bit流文件。 软件设计方式一、在EDK里添加C代码,将软件与硬件合成一个bit文件,这样程序在片内运行,适合于比较小的程序。方式二、在EDK里添加C代码,硬件生成bit文件,软件生成elf文件,bit下载到片内,elf下载到片外。方式三、在SDK里进行软件设计,同样生成elf文件,界面比edk的要友好。 下载调试与固化 如果软件与硬件合成了一个bit文件,则只需要下载和固化mcs(bit转化而来)文件了。如果软件比较大,则需要分两次下载,bit下载到片内,elf下载到片外,若要固化到flash里,则还需要在edk里添加bootloader代码,将其与硬件合成一个bit文件。再将bit转化为mcs后固化到FPGA配置芯片里,elf文件下载到片外flash里。 从开发流程来看, EDK可以不依赖ISE就能完成SOPC的设计,当然它也可以像altera那样,将cpu软核导入到ise中去。由此看来,xilinx的开发流程更加的灵活,相比altera要强大。
2023-05-28 20:01:121

altera芯片电源在哪里

一般在CPU插座周围,跟几个大MOS管比较近。Altera开发的可编程逻辑芯片(FPGA)被广泛用于手机、平板等小型嵌入设备和数据中心的服务器中。
2023-05-28 20:01:191

altera芯片fpga有哪些

去官网查就行了,现在有Cyclone系列,Stratix系列,Arria系列,Max系列等,产品目录上有不同系列针对不同方向的介绍,价格也能在官网查,不过最高的有几十万美金一片的看个人需求了.这是官网的产品目录书.看中文的要去中文网站看.英文目录:https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/sg/product-catalog.pdf中文目录:https://www.altera.com.cn/content/dam/altera-www/global/zh_CN/pdfs/literature/sg/product-catalog.pdf
2023-05-28 20:01:281

niosll是什么

前不久,Altera 正式推出了Nios II系列32位RSIC嵌入式处理器。Nios II系列软核处理器是Altera的第二代FPGA嵌入式处理器,其性能超过200DMIPS,在Altera FPGA中实现仅需35美分。Altera的Stratix 、Stratix GX、 Stratix II和 Cyclone系列FPGA全面支持Nios II处理器,以后推出的FPGA器件也将支持Nios II。  自Altera于2000年推出第一代16位Nios处理器以来,已经交付了13000多套Nios开发套件,Nios成为最流行的软核处理器。刚推出的Nios II系列采用全新的架构,比第一代Nios具有更高水平的效率和性能。和第一代相比,Nios II核平均占用不到50%的FPGA资源,而计算性能增长了1倍。  Nios II系列包括3种产品,分别是:Nios II/f(快速)——最高的系统性能,中等FPGA使用量;Nios II/s(标准)——高性能,低FPGA使用量;Nios II/e(经济)——低性能,最低的FPGA使用量。这3种产品具有32位处理器的基本结构单元——32位指令大小,32位数据和地址路径,32位通用寄存器和32个外部中断源;使用同样的指令集架构(ISA),100%二进制代码兼容,设计者可以根据系统需求的变化更改CPU,选择满足性能和成本的最佳方案,而不会影响已有的软件投入。  特别是,Nios II系列支持使用专用指令。专用指令是用户增加的硬件模块,它增加了算术逻辑单元(ALU)。用户能为系统中使用的每个Nios II处理器创建多达256个专用指令,这使得设计者能够细致地调整系统硬件以满足性能目标。专用指令逻辑和本身Nios II指令相同,能够从多达两个源寄存器取值,可选择将结果写回目标寄存器。同时,Nios II系列支持60多个外设选项,开发者能够选择合适的外设,获得最合适的处理器、外设和接口组合,而不必支付根本不使用的硅片功能。  Nios II系列能够满足任何应用32位嵌入式微处理器的需要,客户可以将第一代Nios处理器设计移植到某种Nios II处理器上,Altera将长期支持现有FPGA系列上的第一代Nios处理器。另外,Altera提供了一键式移植选项,可以升级至Nios II系列。Nios II处理器也能够在HardCopy器件中实现,Altera还为基于Nios II处理器的系统提供ASIC的移植方式。  Nios II处理器具有完善的软件开发套件,包括编译器、集成开发环境(IDE)、JTAG调试器、实时操作系统(RTOS)和TCP/IP协议栈。设计者能够用Altera Quartus II开发软件中的SOPC Builder系统开发工具很容易地创建专用的处理器系统,并能够根据系统的需求添加Nios II处理器核的数量。  使用Nios II软件开发工具能够为Nios II系统构建软件,即一键式自动生成适用于系统硬件的专用C/C++运行环境。Nios II集成开发环境(IDE)提供了许多软件模板,简化了项目设置。此外,Nios II开发套件包括两个第三方实时操作系统(RTOS)——MicroC/OS-II(Micrium),Nucleus Plus(ATI/Mentor)以及供网络应用使用的TCP/IP协议栈。  长期以来,Altera一直推行嵌入式处理器战略的原因是,随着应用的ASIC开发日益受到成本的困扰,OEM日渐转向FPGA来构建自己的系统。这些系统中绝大多数需要一个处理器,而Altera正是为设计者提供了为FPGA优化的灵活的嵌入式处理器方案,可以满足16位和32位嵌入式处理器市场的需求。估计到2007年,该市场价值将到达110亿美元。  在FPGA中使用软核处理器比硬核的优势在于,硬核实现没有灵活性,通常无法使用最新的技术。随着系统日益先进,基于标准处理器的方案会被淘汰,而基于Nios II处理器的方案是基于HDL源码构建的,能够修改以满足新的系统需求,避免了被淘汰的命运。将处理器实现为HDL的IP核,开发者能够完全定制CPU和外设,获得恰好满足需求的处理器。  Nios II嵌入式处理器特性  嵌入式处理器Nios®II系列为Altera® FPGA和可编程片上系统(SOPC)的集成应用专门做了优化。表1详细描述了Nios II软核嵌入式处理器系列的特性,更多通用信息请参阅Nios II简介页面。  表1. Nios II嵌入处理器系列特性  特性 说明  设计流程及工具  硬件开发工具 本页面详列了搭建Nios II处理器硬件系统所用到的开发工具。  软件开发工具 本页面提供了Nios II集成开发环境(IDE)的相关信息,这是一种开发人员广泛应用的,包含编辑、编译和调试应用软件等功能的集成开发环境。  开发套件 Altera及其合作伙伴提供了大量应用了NiosII系列嵌入式处理器的开发板套件。  系统级设计流程 Altera的SOPC Builder工具提供了快速搭建SOPC系统的能力,这种架构可以是包含一个或几个CPU,提供存储器接口,外围设备和系统互连逻辑的复杂系统。  构架及特性  Nios II处理器核 Nios II处理器系列由三个不同的内核组成,可以灵活地控制成本和性能,从而拥有广泛的应用空间。  JTAG调试模块 JTAG调试模块提供了通过远端PC主机实现Nios II处理器的在芯片控制、调试和通讯功能,这是Nios II处理器的一个极具竞争力的特性。  用户指令 开发人员可以在Nios II CPU 核内增加硬件,用以执行复杂运算任务,为时序要求紧张的软件提供加速算法。  外围设备及接口 Nios II开发套件包括一套标准外围设备库,在Altera的FPGA中可以免费使用。  Avalon™交换式总线 Avalon交换式总线在处理器、外围设备和接口电路之间实现网络连接,并提供高带宽数据路径、多路和实时处理能力。Avalon交换式总线可以通过调用SOPC Builder设计软件自动生成。  设计资源  Nios II处理器支持 Nios II处理器支持页面提供了对Nios II 设计者有帮助的多种信息,其中包括使用许可、下载、参考设计、文档资料、在线展示及常见问题。  嵌入式处理器方案中心 嵌入式处理器方案中心提供了大量的信息以帮助开发人员应用Altera的嵌入式处理器实现系统设计。可获取的信息有器件支持、软件开发工具,外围设备及接口、培训、技术支持和资料。  Nios续订信息 Nios II开发套件包括一年期的CPU、外设和嵌入式软件开发工具的升级许可。(其中不包括Quartus® II软件的升级。)客户可以通过Nios续订程序每年订购包括Nios II 处理器的升级等额外信息。  Nios II嵌入式处理器问与答页面 此页提供Altera Nios II系列嵌入式处理器的常见问题及解答。  Stratix® II器件及Nios II处理器系列 Stratix II器件结构的优异特性和Nios II嵌入式处理器系列相结合,提供了无与伦比的处理能力,满足网络、通信、数据信号处理(DSP)应用、海量存储及其他高带宽系统的应用需求。  Stratix器件及Nios II处理器系列 Stratix FPGA结构的优异特性和Nios II嵌入式处理器相结合,提供了很高的处理能力,满足高带宽系统应用需求。  Cyclone™器件及Nios II处理器系列 在Cyclone器件中应用Nios II嵌入式处理器系列,降低了成本,提高了灵活性,在价格敏感应用环境中给低成本分立式微处理器提供了一个理想的替代品。
2023-05-28 20:01:361

Altera有哪些软件?

行业内部最常用的就是Altera QuartusII,用于烧制fpga的,Altium.Designer用来写代码什么的...你具体希望指导什么
2023-05-28 20:01:561

拿到一块芯片 怎么知道是FPGA 还是CPLD的?

看下型号,百度下,资料很多
2023-05-28 20:02:065

请哪位高手一个ALTERA芯片上面字母数字代表的意思?一共3行,第一行是EPM7064S第二行是TC44

第一行EPM7064S是芯片的型号,该芯片是属于MAX7000S系列,其内核电压5V,有64个宏单元,可用逻辑门1250个。第二行TC44时表示封装等信息,T表示封装类型:薄四角扁平封装 (TQFP),C表示该器件是商业级的,使用温度范围为0-45度,44表示引脚的数量为44个。贴上一段该器件的介绍和网站:http://www.altera.com.cn/products/devices/max7k/m7k-index.htmlAltera的 MAX® 7000 CPLDs基于先进的多阵列矩阵(MAX)架构,为大量应用提供了世界级的高性能解决方案 。基于电可擦除可编程只读存储器(EEPROM)的MAX7000产品采用先进的CMOS工艺制造,提供从32到512个宏单元的密度范围,速度达3.5 ns的管脚到管脚延迟。MAX 7000器件支持在系统可编程能力(ISP),可以在现场轻松进行重配置。
2023-05-28 20:02:241

大家的altera时序约束都怎么做的

在Altera的quartusII下,添加*.sdc文件,使用TQ时序约束器来进行时序验证,具体的语法可以参照altera网站的叙述以及例子工程
2023-05-28 20:02:311

Altera的FPGA要用什么软件呀

quartus ii,到altera官网就能下
2023-05-28 20:02:406

Verilog(Quartus)和ModelSim为什么要结合使用?

Quartus II是ALTERA的FPGA设计软件,几乎可以跑完FPGA设计的整个流程,包括源代码输入,编译,仿真,综合,映射布局布线,FPGA芯片几乎被ALTERA,XILINX瓜分天下,既然你使用他们的芯片,使用他们的设计软件业并不稀奇,毕竟他自己最熟悉自己的芯片!并且某些步骤只能在他们的设计软件上来做,比如逻辑映射,也可以说是适配。至于modelsim而言是mentor公司的仿真软件,功能强大。这里需要指出的是自quartus 10.0版本后,已经不自带仿真组建,你可以选择OEM版本的modelsim,也就是ALTERA_modelsim,对于初学者来说比mentor公司的modelsim SE不容易上手,比如需要自己编译器件库器件库!但是和modeisim SE相比有什么不足,我还真不好说!因为没有用过OEM版本的,都是用的modelsim SE。其实如果你玩熟悉quartus 和modelsim已经说基本可以胜任FPGA开发的整个流程。但是其他公司的第三方设计软件也是非常强大!也是很优秀的,比如synopsys公司的Synplify,做综合,是比quartus自带的综合器优秀的,当然synopsys公司还有很多强大的软件,可以提高你设计可靠性,这一点恐怕ALTERA在这些领域也宁不过他们!这里不再赘述。所以呢!你现在了解的基本够用!但是如果需要提高自己,这些软件还是熟悉的好!并且你要明白synopsys的很多软件已经不支持windows平台,所以熟悉下linux还是有必要的。
2023-05-28 20:02:541

Altera器件封装外形尺寸的BSC代表什么含义?

意思是两中心间的基本间距,这是一个无误差的,理论的真实位置尺寸。封装,Package,是把集成电路装配为芯片最终产品的过程,简单地说,就是把Foundry生产出来的集成电路裸片(Die)放在一块起到承载作用的基板上,把管脚引出来,然后固定包装成为一个整体。作为动词,“封装”强调的是安放、固定、密封、引线的过程和动作;作为名词,“封装”主要关注封装的形式、类别,基底和外壳、引线的材料,强调其保护芯片、增强电热性能、方便整机装配的重要作用。
2023-05-28 20:03:132

ALTERA 中SDRAM和SRAM能否代替

不能,SDRAM和SRAM差别很大,操作也明显不同。
2023-05-28 20:03:212

altera max10 配置JTAG接口时序

JTAG 接口的时序主要分为两个部分:指令寄存器扫描和数据寄存器扫描。仿真器在 TCK 下降沿改变 TMS、TDI 电平,被测芯片在 TCK 上升沿捕获输入并更新内部状态。被测芯片在 TCK 下降沿改变 TDO 电平,仿真器在 TCK 上升沿捕获 TDO 数据。指令寄存器扫描和数据寄存器扫描都是常用的JTAG接口时序。
2023-05-28 20:03:501

altera系列fpga芯片ip核详解 怎么样

IP核就是ALTERA给你提供的一些可使用的知识产权核包括了比较多的外设,如UART,USB,DDR控制器,SDRAM控制器,PCI控制器等还有一些算法如FFT,LPF,HPF等也提供了功能较主流的NIOS II内核等
2023-05-28 20:04:081

如何在modelsim中添加altera的仿真库

1.设置仿真库路径 打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:ModelSimInstallfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。 启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。或在命令行中执行cd D:/ModelSim/Installfiles/altera。2.新建库 Quartus II中提供的仿真库文件存放的路径是...altera80quartusedasim_lib,每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera_mf.v为例介绍建立预编译库的方法。 注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个resource库。 在主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_mf的库。3.编译库 方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。 在主菜单中选【Compile】/【Compile】命令,弹出Compile Source Files对话框,library中选择你刚才建立的库名altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了...alterasrc目录下。执行编译命令。 继续按照步骤2和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。4.配置modelsim.ini文件 这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。 ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面添加的库的路径。注意修改后关闭并改回只读属性。 注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。 altera_primitives = $MODEL_TECH/../altera/altera_primitives 220model = $MODEL_TECH/../altera/220model altera_mf = $MODEL_TECH/../altera/altera_mf5.添加Altera底层硬件原语仿真库(ATOM) 在时序仿真时,必须加载所使用到的对应于具体Altera器件的底层硬件原语的仿真库(ATOM)。 上面编译了Altera三个资源库文件:220model.v,altera_mf.v,altera_primitives.v,编译通过之后。根据我们选用的具体器件型号继续编译我们所需要的器件底层原语仿真库文件,比如我们选择使用的是cycloneii,所以编译cycloneii_atoms.v。
2023-05-28 20:04:181

关于altera FPGA(cyclone IV)的全局网络使用

1,全局时钟管脚默认是上全局时钟网络的,不用约束。如果你当它普通IO脚,也是可以的。2,内部逻辑产生的信号需要使用全局布线资源,一般也是需要先经过GBUF才可以。3,PLL的输出跟GCLK一样的,现在的器件时钟资源都是经过PLL或者DCM再驱动全局布线资源。
2023-05-28 20:04:271

EDA中的FPGA、CPLD的英文全称和中文全称是什么?

分类: 教育/科学 >> 科学技术 >> 工程技术科学 解析: FPGA 简介 FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 ——2)FPGA可做其它全定制或半定制ASIC电路的中试样片。3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 目前FPGA的品种很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。 FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。 PLD介绍 可编程逻辑器件PLD(Programable Logic Device)是允许用户编程(配置)实现所需逻辑功能的电路, 它与分立元件相比,具有速度快、容量大、功耗小和可靠性高等优点。由于集成度高,设计方法先进、现场可编程,可以设计各种数字电路,因此,在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域内得到了广泛应用。不久的将来将全部取代分立数字元件,目前一些数字集成电路生产厂商已经停止了分立数字集成电路的生产。因此应该学会PLD的设计技术。 PLD电路早期代表产品由XLINX公司推出的门阵列,称为FPGA(Field Programable Gate Array),随后ALTERA公司推出以并行走线的PLD产品,称为CPLD(Complex Programable Logic Device),这些早期产品价格高达万元,其开发软件价格高达几十万元。但是随着生产技术水平的提高,现在PLD产品的价格已大大降低,一片5000门、具有5K X 8的SRAM电路作配置、84脚封装、速度达40—200MHz的PLD的价格已经下降到一百元以下。每一片这样的PLD可以设计成单片机、或者是CPU等,并且可以在外部接线完成以后还可以重新进行设计多次。 目前在我国常见的PLD生产厂家有XILINX、ALTERA、ACTEL、LATTIC、ATMEL、MICROCHIP和AMD等等,其中XILINX和ALTERA为两个主要生产厂,XILINX的产品为FPGA,ALTERA的产品称为CPLD,各有优缺点, 但比较起来ALTERA的产品略有长处: 1. 同样具有EPROM和SRAM的结构 2. 对于SRAM结构的产品,ALTERA公司PLD的输出电流可达25MA,而XILINX的FPGA只有16MA 3. ALTERA公司的PLD延时时间可预测,弥补了FPGA的缺点 4. XILINX公司的开发软件FOUNDATION 功能全,但是不如ALTERA公司的MAX+PLUS软件使用简单,特别是对于学校的学生学习VHDL语言和PLD设计。 5. ALTERA公司的产品价格稍微便宜 6. ALTERA公司新推出的FLEX 10K10E系列的产品具有更大的集成度 PLD的结构分为两类: l 逻辑单元阵列(LCA),包括逻辑快、互连阵列和I/O块 l 复合PLD结构,包括逻辑块和互连矩阵开关 XLINIX、ACTEL公司的产品采用LCA结构,而ALTERA、AMD的MACH系列采用的是复合PLD结构。
2023-05-28 20:04:491

FPGA的下一步会怎么发展?

这里基于自己的一些观察做些浅析,欢迎朋友们拍砖。很多时候FPGA的芯片体积和功耗一直是ASIC厂商攻击的目标,不过,随着工艺尺寸的进一步降低,我发现了一个很有趣的现象:在90nm工艺节点,有大把的ASIC厂商在玩,到65nm的时候就减少了很多,现在到40nm的时候,能玩起这个节点的人更少了,也许只有排在top10的厂商有点实力去玩玩,这时,反倒是赛灵思、Altera等FPGA厂商在工艺节点上走得比较快了,据说他们已经在研发28nm的器件了,所以工艺节点进一步缩小的时候,FPGA的优势就显示出来了---ASIC掩模费用需要独立承担,但是FPGA的掩模费用是很多客户承担,而且随着工艺节点缩小,FPGA的这个优势就越明显。而且,随着工艺尺寸的缩小,FPGA的功耗会进一步降低,所以工艺尺寸跨入到40nm以后对FPGA来说优势更明显,如果我们用下图这个曲线来看就比较明显了。 其实任何事物的发展都可以用S曲线来表示,分为初期阶段、高速发展阶段、极限阶段,从这个意义来说,ASIC的发展模式已经进入到了极限阶段,所以很多人对摩尔定律提出质疑,这是因为在进入到极限阶段以后,付出很多但是性能改进却不大,就是上图中红线。而此阶段,FPGA在工艺改进获得提升却很大因为它还在处于高速发展阶段,距离其极限还有一定空间。现在回到开头,FPGA下一步会怎么发展,我认为FPGA的优势是其强大的并行数字信号处理能力,但是对于一个嵌入式系统来说,需要一个核心处理器,虽然现在赛灵思、altera公司都有自己的处理器,但是,要让FPGA日益通用,就需要加入通用处理器IP,现在Altera已经采用了MIPS的内核,所以,下一步应该是赛灵思FPGA采用ARM内核,随着FPGA厂商日益降低FPGA开发门槛,未来,对于工程师来说,开发FPGA可能和开发你目前的DSP或者MCU一样简单。 不过,FPGA也面临一些挑战要克服例如存储器设计、功耗等。
2023-05-28 20:05:021

如何在quartusII中调用modelsim-altera

1 Altera 官网下载两款软件并安装 ,选择 Quartus II网络版、ModelSim-Altera 入门版。目前这两款软件都是免费的,不需要许可 证,安装简单,使用期限是30天。 【注意】务必记住安装的路径,特别是 ModelSim-Altera 的安装路径。 ModelSim2 指定 ModelSim-Altera 6.5e 的安装路径 打开 Quartus II11.0软件,新建工程和文件并保存。 1.然后在菜单栏选择 tools->options; 2.在 options 选项卡中选中 EDA tool options; 3.在该选项卡中下面的 ModelSim-Altera 一项指定安装路径为 E:/Altera/11.0/modelsim_ae/win32aloem(其中 E:/Altera/11.0/modelsim_ae/为我电脑 中 ModelSim-Altera 6.5e 的安装路径) 图1 指定 ModelSim-Altera 6.5e 的安装路径 【注意】 如果没有指定 ModelSim-Altera 6.5e 的安装路径,调用 ModelSim-Altera 的时候会出现如下的错误提示: 图2 没有指定安装路径的错误提示 11.0仿真软件 3 指定 Quartus II 11.0仿真软件 在 Quartus II 11.0界面菜单栏中选择 Assignments->Settings。 1.选中该界面下 EDA Tool settings 中的 Simulation 一项; 2.Tool name 中选择 ModelSim-Altera; 3.Format for output netlist 中选择开发语言的类型 Verilog 或者 VHDL 等, 4.Time scale 指定时间单位级别 5.Output directory 指定测试文件模板的输出路径(该路径是工程文件的相对路径) 。 图3 指定 Quartus II 11.0仿真软件 4 生成仿真测试文件 选择 Quartus II11.0开发界面菜单栏下 Processing->Start->Start Test Bench Template Writer,提示生成成功。 图4 生成仿真测试文件 分页 5 配置选择仿真文件 打开仿真测试文件(在上述3中指定的 Output directory 目录下找到后缀名为".vt"的 文件)并根据自己需要进行编辑。 1. 在 Quartus II 11.0界面菜单栏中选择 Assignments->Settings->EDA Tool settings->Simulation; 2.选择 Compile test bench 右边的 Test benches; 图5 配置选择仿真文件(1) 3.然后在出现的界面中选择 New,在新出现的界面中 Test bench name 输入测试文件名 字,在 Top level module in test bench 栏中输入测试文件中的顶层模块名; 4.选中 Use test bench to perform VHDL timing simulation 并在 Design instance name in test bench 中输入设计测试文件中设计例化名默认为 i1; 5.然后在 Test bench files 栏下的 file name 选择测试文件(在第3步中指定的测试 文件输出路径下的后缀名为" .vt " 文件的测试文件) ,然后点击 add,一步一步 OK。 图6 配置选择仿真文件(2) 【注意】Test bench name 和 Top level module in test bench 以及 Design instance name in test bench 分别为".vt"文件的文件名、vt 文件中顶层实体模块名、Verilog 或者 VHDL 文件中的模块的例化名。 6 仿真文件配置完成后回到 Quartus II 11.0 开发界面 在 Quartus II 11.0 界面菜单栏中选择菜单栏 Tools 中的 Run EDA Simulation Tool->EDA RTL Simulation 进行行为级仿真,接下来就可以看到 ModelSim-Altera 6.5e 的运行界面, 观察仿真波形。
2023-05-28 20:05:091

Altera Cyclone IV 所称高达数千兆位速率的高速收发接口是怎样算出来的, 以什么协议工作。

高速串行收发器能跑到几个G,刨除8B10B编码,打个8折。CyclonIVGX能跑到3.125Gbps。地狱这个速率的常见协议都可以跑。PCIE, SDI, G-Ethernet, XAUI等
2023-05-28 20:05:281

altera芯片在altium designer只有一个BANK1,怎么添加其他bank呢?

从库中选择后,放下第一个,然后再点鼠标放第二个,就是第二部分了。另外:属性页中有一个PART的标签,调整数字即可调整放置的部分。
2023-05-28 20:05:351

请教Altera FPGA的LVDS接口怎样用

altera的LVDS接口,在程序设计上都是单端的,只是在管脚锁定那里,选择LVDS就OK了,对应输入输出就是LVDS了.
2023-05-28 20:05:421

ALtera的cyclone4E系列的FPGA的144脚封装,中间怎么有145焊盘?

中间的焊盘接地,过孔吧这个焊盘连接到地层,用来散热,并且减小地弹噪声对芯片的影响
2023-05-28 20:05:511

altera-modelsim如何使用

500元左右,酒香不怕巷子深,酒香随风飘散,沁人心脾,酒经过酿造,经过窖藏,那种浓郁的香气扑鼻而来,只是单单闻上一下,就会有几分醉意,品味酒之魂,品味酒之情,品味酒之灵 ,品味酒之髓,更是品味酒之仙境。不会喝酒的人,觉得喝酒是遭罪和浪费,会喝酒的人,却是品酒和品味人生。
2023-05-28 20:06:002

altera的fpga CycloneIV电源要求怎么这么多?都是必须的吗?

至少需要一个1.2v和2.5V,当然如果你的IO口对外电压是3.3V的,那么还需要一个3.3V的电源,即一共是2个或者3个电源,不多吧?
2023-05-28 20:06:191

altera 差分时钟输入,直接_p接入,设置为lvds 与 调用mega核中的buf来实现是否有差别?

差分时钟一般_p _n都输入。单端时钟就不用设置为lvds。
2023-05-28 20:06:261

ALTERA FPGA EP4CE22E22C8 时钟输入引脚clk可以作为普通输入引脚吗?

clk管脚是可以作为普通输入管脚使用的,当用作输入功能时和普通的IO功能是一样的,但是clk管脚是不可以用作输出功能的,如果不使用时可以悬空,不需要非得接地,你只要在建立工程的时候把无用的管脚设置为三态输入,这样是通常的处理办法。
2023-05-28 20:06:321

关于altera编译的warning,求助

编译的时候没有注意,整个工程都可以在板子上跑起来。但是做Powerplay的时候,出现了这个Critical Warning:、 Critical Warning: The following clock transfers have no clock uncertainty assignment. For more accur
2023-05-28 20:06:471

HSMC是什么?在FPGA和PCIE的项目材料中,出现的这个东西。可是不知道是什么?里面还有HSMA和HSMB,难道HSM

High Speed Mezzanine Card 是一种高速连接器Altera开发了高速mezzanine连接器(HSMC)规范,定义了可选子卡和主板之间的接口,并使之标准化。http://www.altera.com.cn/literature/ds/hsmc_spec.pdf
2023-05-28 20:06:541

请问一下,altera里面的altfp和lpm开头的模块有什么区别呢?

很久没用,忘记了,百度一下
2023-05-28 20:07:082

Altera FPGA 中的 Nios II 实际中用得多不多

据我的目前了解,实际中用的比较少,可以说很少;因为nios ii的用途比较鸡肋,很多习惯使用fpga与arm组合使用的公司,不会再去搞nios ii;而且nios ii的稳定性不够好;
2023-05-28 20:07:291

FPGA各型号最多有几个锁相环

能有几个PLL(锁相环)这个在你建立工程的时候就能看得到啊,如果你用的是Altera系列的FPGA,在Quartus II 中用New Project Wizard建立的话,第三步(Page 3 of 5)即Family & Device Settings 的 Available devices 栏目里每款器件都有"PLL"栏目,下面写着数字几就是最多有几个锁相环啊。如果是已经建立好的工程,可以点击菜单栏下拉的”Assignments -> Devices...“,也可以看得到啊。从FPGA芯片型号也可以大致知道它最多锁相环数目的范围,以Altera公司的最常见的Cyclone系列为例,EP1C3T最多有1个PLL,EP1C4F~EP1C20F最多有2个PLL;Cyclone II 系列中EP2C5A~EP2C8T 最多有2个PLL,EP2C15A~EP2C70F最多PLL为4个;Cyclone III 系列中EP3C5E~EP3C10U 最多有2个PLL,EP3C16E~EP3C120F最多有4个PLL。不行就去官网看产品目录,下面网址是Altera公司的product catalog:http://www.altera.com.cn/literature/sg/product-catalog.pdfXilinx公司的FPGA我不太了解,不敢乱说,但我估计在开发工具里或者官网上也很容易查询得到的呀~ 补充:Altera的命名规则与PLL数目并无直接关联。但目前可以说 cyclone II 和cyclone III 、cyclone IV E FPGA系列中最多有4个PLL的芯片,而cyclone IV GX FPGA系列中就有最多有8个PLL的芯片(其中4个是通用PLL,即GPLL,位于管芯的每个角上;另4个是多用途PLL,即MPLL,可以供收发器使用,也可以由FPGA架构使用)。cyclone IV GX FPGA系列简介:http://www.altera.com.cn/products/devices/cyclone-iv/overview/cyiv-overview.html其他型号的信息你也可以点击上面网页中左侧菜单链接~附:http://www.altera.com/support/kdb/solutions/rd07152010_131.htmlHow can I select which type of PLL will be used in a Cyclone IV GX design?Altera的命名规则如下:工艺+版本+型号+LE数量+封装+器件速度。举例:EP2C20F484C6EP 工艺2C cyclone2 (S代表stratix。A代表arria)20 2wLE数量F484 FBGA484pin 封装C6 八速 数字越小速度越快。
2023-05-28 20:07:381

altera fpga 高电平复位好还是复位低电平好

都可以,高低无所谓。
2023-05-28 20:07:453

高手帮忙介绍个Altera FPGA芯片,要引脚数据率单端达到300M?

你最好说一下你的具体需求是什么例如你需要用多少个这样的引脚?并行使用还是串行使用?一般来说S2或者S3系列的IO,工作在差分模式下本身肯定可以达到300Mbps的速率单端的情况也可以,但速率有点太高了,需要在设计pcb时考虑反射等问题建议你使用altera的自带串行收发器,差分可以达到6Gbps以上
2023-05-28 20:07:521

如何altera 添加max v器件

1。在Google上面输入“型号 PDF”。2.找到XILINX,ALTERA,TI的主页,在Search里面输入型号。
2023-05-28 20:07:581

尼康三坐标测量机现在叫什么

尼康ALTERA三坐标测量机。截止到2023年5月13日,尼康三坐标测量机叫尼康ALTERA三坐标测量机,是人体工学设计的手持式测量系统,具有操作简便、测量高效精确等优点,有效降低了操作人员的工作负荷,大大提高测量效率。
2023-05-28 20:08:061

Verilog(Quartus)和ModelSim为什么要结合使用?

Quartus II是ALTERA的FPGA设计软件,几乎可以跑完FPGA设计的整个流程,包括源代码输入,编译,仿真,综合,映射布局布线,FPGA芯片几乎被ALTERA,XILINX瓜分天下,既然你使用他们的芯片,使用他们的设计软件业并不稀奇,毕竟他自己最熟悉自己的芯片!并且某些步骤只能在他们的设计软件上来做,比如逻辑映射,也可以说是适配。至于modelsim而言是mentor公司的仿真软件,功能强大。这里需要指出的是自quartus 10.0版本后,已经不自带仿真组建,你可以选择OEM版本的modelsim,也就是ALTERA_modelsim,对于初学者来说比mentor公司的modelsim SE不容易上手,比如需要自己编译器件库器件库!但是和modeisim SE相比有什么不足,我还真不好说!因为没有用过OEM版本的,都是用的modelsim SE。其实如果你玩熟悉quartus 和modelsim已经说基本可以胜任FPGA开发的整个流程。但是其他公司的第三方设计软件也是非常强大!也是很优秀的,比如synopsys公司的Synplify,做综合,是比quartus自带的综合器优秀的,当然synopsys公司还有很多强大的软件,可以提高你设计可靠性,这一点恐怕ALTERA在这些领域也宁不过他们!这里不再赘述。所以呢!你现在了解的基本够用!但是如果需要提高自己,这些软件还是熟悉的好!并且你要明白synopsys的很多软件已经不支持windows平台,所以熟悉下linux还是有必要的。
2023-05-28 20:08:131

永劫无间steam和网易有什么区别

平台不同的区别。因为本身无论是steam还是EPIC都只是一个平台,而游戏的内容还是网易自己来运营的。目前epic和steam这两个版本都是可以来进行互通的,属于一个版本,所以大家根据自己的喜好来进行购买就可以了。因此不管是eipc也好,还是steam也好,两者的服务器都是同一个,所以可以互相加好友或者是一起来玩,并不会因为登录平台的不同而无法进行组队联机。永劫无间,是由网易旗下“24Entertainment工作室”开发的一款多人动作竞技游戏,于2021年7月8日开启不删档测试,于2021年8月12日开启全球公测。
2023-05-28 20:03:411

暗黑3全能法戒能爆2次吗

能。《暗黑3》是一款角色扮演冒险游戏,该游戏中的道具全能法戒是玩家在通关史诗级难度萃取关卡后掉落的物品,该副本在通关后总共可以爆出5次装备,玩家可以选择属性合适的进行佩戴,《暗黑3》于2019年发布于eipc游戏平台,一经发布就收获无数好评和畅玩。
2023-05-28 20:03:471

霜花店的连接谁有给我发一个谢谢

我有高清未删版
2023-05-28 20:03:483

活下去不同模式结算奖励相同吗

不同。《活下去》是一款独特的角色扮演游戏,该游戏中玩家所扮演的角色,在通关不同的模式获得的结算奖励是不相同的,通关模式难度越高获得奖励就越多,该游戏于2019年发布于eipc游戏平台,该游戏一经发布就凭借其独特的玩法,收获无数好评和畅玩。
2023-05-28 20:03:541

九字旁里面包一个首,念什么?

馗(kui 二音)
2023-05-28 20:03:565

怎么往epic账号里导入GTA5啊?

新建一个叫eipc的文件夹作为eipc游戏的下载目录(这个是给eipc下载游戏的不是给steam下载游戏的)然后在steam上下载GTA5下好并安装完后在游戏库里右键GTA5选浏览本地文件。然后把整个游戏文件夹剪切下来然后放到eipc文件夹里这一步需要一点时间(第一步里新建的)。然后下载eipc里的GTA5它会在下载开始前让你设置游戏的下载安装目录选择你新建的eipc文件夹(我这里没有GTA5所以用文明代替,是GTA5的话游戏的名字应该叫GTAV)然后在eipc文件夹里新建一个叫GTAV的文件夹选好路径不要开始下载安装把那个GTAV文件夹删掉(一定要在选好下载目录之后删掉)然后把steam版本的文件名改成GTAV然后开始下载安装,这样点击开始下载就会直接验证游戏完整性,验证完成后会下载300m的资源包就可以畅玩了。
2023-05-28 20:04:031

跪求印第安纳琼斯之水晶骷髅王国BD中英双字1024高清种子下载,跪谢

印第安纳琼斯之水晶骷髅王国BD中英双字1024高清种子下载地址:麻烦选为满意答案,谢谢!
2023-05-28 20:04:351

epic是有手机版吗,怎么下载?

epic有手机版。epic是有手机端的,手机端他自己两个游戏可以在上面玩的,有一个是那个保垒之夜。epic平台手机版怎么下载:1、首先大家需要下载epic手机版APP。2、然后等待安装完成即可进入epic游戏平台。3、然后即可进入进行使用。Epic GamesEPIC Games是近十年来最富盛名的游戏制作团队,主要是因为旗下最为畅销的《战争机器》系列。团队研发的虚幻3引擎为无数的游戏制作团队所采用。2011年,EPIC Games发售的《战争机器3》引来了业界的广泛好评。代作品另有《子弹风暴》、《堡垒之夜》等。EpicGamesChina英佩游戏于2006年成立,目前总部位于上海,并在苏州和美国西雅图分别设有工作室。英佩依托国际领先的技术和人才积累,秉承精益求精的原则,致力于为全球市场提供自主研发的高品质游戏娱乐产品。而作为虚幻引擎在中国和东南亚地区的唯一授权商,英佩在Epic Games Inc.的支持下,为该地区客户提供全面的引擎授权,以及相应的技术支持与培训。针对该地区以网游为主的市场特性,英佩还开发了大型网络游戏服务器端技术解决方案Atlas Technology,并对全球客户提供授权和支持。同时,英佩也为全球客户提供业内顶级标准的游戏内容制作服务,参与了包括Epic Games的《战争机器》系列游戏在内的众多一流产品的制作开发。
2023-05-28 20:03:251

常用电脑英语 200分收集

手头有几本书,有兴趣的话HI我,传给你.
2023-05-28 20:03:2513

epic无法下载更多免费游戏,如何解决?

加速器的问题,领取页面关掉加速器。还是用手机领好一点。希望我的回答能让你满意,祝您游戏生活愉快
2023-05-28 20:03:122

享的读音是什么

享拼音:[xiǎng][释义] 1.受用:~福。~乐。~誉。~年(敬辞,享有的年岁,对人或朝代而言)。~受。~用。~有。~国(帝王在位年数)。安~。分~。 2.贡献(指把祭品,珍品献给祖先、神明或天子、侯王),上供:~堂。~殿。
2023-05-28 20:03:087

epic美服能和国服一起玩吗

epic永劫无间和网易互通吗 永劫无间epic和steam互通吗回答于2022-03-30  epic永劫无间和网易互通吗?epic是专门为游戏爱好者打造的游戏平台,经常会为玩家提供精彩的游戏折扣和活动,不少小伙伴很向往,但有使用网易或者steam的小伙伴担心游戏能不能互通,所以今天小编就来为大家具体讲一讲,有感兴趣的小伙伴可以来看看哦。origin官网版origin官网版是由ea公司发布的游戏平台,这款软件集合了社交和游戏等众多功能,重金打造全方位的游戏平台,各种风格类型的游戏在这里都能找到,还能快速帮助用户管理自己的信息和账号,实现快捷方便的效果,如果你也是对游戏感兴趣的话不妨来下载安装尝试一下哦。  epic永劫无间和网易互通吗  1、epic买的永劫无间和网易国服,以及steam都是可以联机一起玩的。大家不用担心和好友不在一个平台无法组队联机,除非大家想要玩外服,网易买的永劫无间是不能玩外服的,只有国服,steam和epic可以玩外服,分国服和海外服,不过要玩海外服的话,还需要大家开加速器才行,延迟比较高,没有国服稳定。  2、因此不管是eipc也好,还是steam也好,两者的服务器都是同一个,所以可以互相加好友或者是一起来玩,并不会因为登录平台的不同而无法进行组队联机,这个大家放心好了,因为本身无论是steam还是EPIC都只是一个平台,而游戏的内容还是网易自己来运营的。  以上就是小编为大家分享的全部内容,希望可以帮到大家。
2023-05-28 20:03:031

电脑发展史

电脑发展史电子计算机(electronic computer),俗称电脑,简称计算机(computer),是一种根据一系列指令来对数据进行处理的机器。所相关的技术研究叫计算机科学,以数据为核心的研究称为信息技术。 中文名电脑发展史行业计算机俗称电脑计算机第一人查尔斯・巴比奇里程碑ENIAC下一代计算机量子计算机
2023-05-28 20:02:409