barriers / 阅读 / 详情

硬件延时电路设计

2023-08-30 14:18:01
共2条回复
陶小凡

本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。 关键词:EDA;VHDL;控制器;CPLD

引言

EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。

实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。

交通灯系统控制器设计要求

路口交通灯控制系统与其他控制系统一样,划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。

按照路口交通运行的实际情况,在本系统中,设定系统的工作情况如下。

路口交通灯控制系统的东西路有交通灯R(红)、Y(黄)、G(绿);东西人行安全通道灯:RXR(红)、RXG(绿)。南北路有交通灯:r1(红)、y1(黄)、g1(绿);南北人行安全通道灯:rxr1(红)、rxg1(绿),所有灯均为高电平点亮。设置15s的通行时间和5s转换时间的变模定时电路,由预置输入整数cnt决定是模15还是模5,输入逻辑cx是用来决定计数到4时清零还是到14时清零。Clk是外部提供的基准秒脉冲信号。x0、x1、x2、x3是由控制器输出的表示计数时间的四位二进制数。图1是该系统控制器的符号框图。

控制器的程序设计

* 控制器的ASM图

根据系统设计要求,得到控制器的ASM图,如图2所示。在这里,所有输入信号均为高电平有效。该ASM图反映了交通灯系统的不同状态的转换过程及持续时间。

* 控制器的VHDL程序设计

根据所分析的系统的ASM图,结合系统的设计要求,用VHDL语言对各个模块进行编程,最后形成顶层文件,在MAX+PLUSⅡ环境下进行编译与仿真,检查所编程序是否运行正确。如果出现错误,需要进行修改,直到完全通过为止。需要说明的是,在进行程序编译时,要先从底层程序开始,所有底层程序都正确后,才能开始顶层程序的编译。这是因为顶层程序是对底层程序的概括,它是把底层程序各个模块连接起来,就相当于把每个模块的功能汇聚到一起,实现整个系统的控制功能,所以底层程序的正确与否,关系到顶层程序的运行结果。

在控制器的程序设计中,在定义结构体时,有两种程序设计方法均可以通过编译及仿真,但在进行时序分析时结果却不同。

(1)如果这样定义:

...

ARCHITECTURE con1_arc of con1 IS

SIGNAL current_state:state;

BEGIN

...

在进行程序调试时,均通过了编译及仿真,但在进行时序分析中,却出现了不按设定的计数顺序工作的结果:14, 13, 2,1, 0...。经过反复修改调试,对程序进行了修改,如(2)所定义的。

(2)

ARCHITECYTURE con1_arc OF con1 IS

SIGNAL current_state:state;

SIGNAL TEMP_STATE:state;

...

TEMP STATE<=current_state;

BEGIN

...

在这种设计方法中,多定义了一个信号变量,从而使得程序能按设定的状态14,13,12...进行转换。通过这个实例,可以看出EDA技术作为电子设计工具的功能修改及调试的方便快捷,即不需要硬件电路的支持就可以找到问题所在并进行修改,体现了它的优越性。

硬件电路实现

根据交通灯系统的控制要求,图3所示为本系统的硬件电路图。该电路包含了1个CPLD芯片,2个七段LED数码显示器,20个分别表示各个方向上的红、黄、绿灯,以及相应的限流电阻。这个电路与其他控制方法相比,所用器件可以说是比较简单经济的。经过实验,实现了预定的交通灯系统的控制功能。

或单片机,PLC教材上有实例.

nicehost

“电子online”中项目二详细地讲了怎么用电容做一个硬件延时电路,可以去参考一下。优酷直搜“硬件延时电路”就有

相关推荐

太阳能路灯控制器的电路设计有哪几种方法

太阳能路灯控制器的核心是太阳能路灯的开灯和关灯控制。太阳能路灯控制器根据电路设计的方式不同,可以分为模拟电路方式和单片机电路方式。1、单片机电路的太阳能路灯控制器因为太阳能路灯的工作方式比较复杂,许多太阳能路灯控制器采用了单片机电路。单片机控制器采用预先设置程序的方式控制开灯和关灯。预置程序有两种方式,一种是把每一天的开关灯时间排成表格存储在单片机的ROM中,单片机根据查表获得开关灯时间。第二种方法是把式(1)存储在ROM中,每一次都调用式(1)对开关灯时间进行计算。两种方法都需要在单片机内设置一个时钟作为参照,同时还要根据当地太阳时的改变进行调整。当然,也可以在单片机电路的太阳能路灯控制器中用光敏(或光敏+定时)的方式对开关灯进行控制。但是,在模拟电路的太阳能路灯控制器中使用光敏(或光敏+定时)的方式似乎更合理有效。2、模拟电路的太阳能路灯控制器用光敏(或光敏+定时)的方式对开关灯进行控制,可以使用附加光敏器件的方法。附加光敏器件,就需要给附加的光敏器件设置安装位置并设计附加电路。一些模拟电路的太阳能路灯控制器中采用的是这一种方法,实际是不合理的。太阳电池组件在弱光时开路电压随光强的变化很敏感。与单片机电路的太阳能路灯控制器比较,模拟电路的太阳能路灯控制器的电路结构更简单可靠。图3是并联型控制器中使用太阳电池组件作为光敏信号源的方法。当a点的电压下降到预定值时,使得b点电压小于c点电压,从而引起放大器A翻转给出开灯信号。在并联型控制器中,应该采取措施避免防过充控制对开关灯控制产生影响。在串联型控制器中实现太阳电池组件作为光敏信号源的方法是更有效并且更简捷的开关灯控制方法。光敏控制存在一个防杂散光干扰的问题,例如过路的汽车灯的照射或者闪电,因此需要在光敏控制电路中增加延时缓冲电路。在定时关灯控制器中都存在一个定时电路,也可以用于抗杂散光干扰。
2023-08-27 13:13:122

什么是可编程逻辑控制器的设计原则?

可编程序逻辑控制器(PLC)的最初设计原则是“可靠性”的原则,而不是“兼容性好”这个说法。PLC作为一种广泛应用于工业自动化领域的电子设备,其设计目标是为了提供高度可靠的自动化控制和数据处理功能。因此,可编程逻辑控制器在设计时注重以下几个方面:1. 可靠性:PLC在设计时需要极力保证系统运行的稳定性和可靠性。它必须能够在恶劣环境下运行,并且具有防护、防尘、防湿等性能。2. 稳定性:PLC要求运行环境的稳定,所以在设计时需要考虑电源干扰、接口稳定性、抗干扰等问题。3. 灵活性:PLC需要灵活地适应多种控制模式和变化的工厂生产流程,在设计时需要注重软件和硬件的可升级性。4. 易用性:PLC的使用者通常是非专业人士,因此PLC在设计时需要着重考虑操作简单易学、界面友好、故障诊断等问题。综上所述,PLC的设计原则主要是围绕“可靠性”展开的,其目标是为了提供高度稳定和可靠的自动化控制功能。
2023-08-27 13:13:201

路灯控制器的设计?问下关于这方面的问题?

应该不怎么难吧.
2023-08-27 13:13:284

控制器是怎么设计的?

1、设计机器的指令系统:规定指令的种类、指令的条数以及每一条指令的格式和功能;2、初步的总体设计:如寄存器设置、总线安排、运算器设计、部件间的连接关系等;3、绘制指令流程图:标出每一条指令在什么时间、什么部件进行何种操作;4、编排操作时间表:即根据指令流程图分解各操作为微操作,按时间段列出机器应进行的微操作;5、列出微操作信号表达式,化简,电路实现。以上信息由东莞博顺电磁铁厂家专业提供。
2023-08-27 13:13:381

太阳能路灯控制器的设计原理

太阳能路灯控制器使用说明:充电及超压指示:当系统连接正常,且有阳光照射到光电池板时,充电指示灯(1)为绿色常亮,表示系统充电电路正常;当充电指示灯(1)出现绿色快速闪烁时,说明系统过电压,处理见故障处理内容;充电过程使用了PWM方式,如果发生过过放动作,充电先要达到提升充电电压,并保持30分钟,而后降到直充电压,保持30分钟,以激活蓄电池,避免硫化结晶,最后降到浮充电压,并保持浮充电压。如果没有发生过放,将不会有提升充电方式,以防蓄电池失水。这些自动控制过程将使蓄电池达到最佳充电效果并保证或延长其使用寿命。蓄电池状态指示:蓄电池电压在正常范围时,状态指示灯(2)为绿色常亮;充满后状态指示灯为绿色慢闪;当电池电压降低到欠压时状态指示灯变成橙黄色;当蓄电池电压继续降低到过放电压时,状态指示灯(2)变为红色,此时控制器将自动关闭输出,提醒用户及时补充电能。当电池电压恢复到正常工作范围内时,将自动使能输出开通动作,状态指示灯(2)变为绿色;负载指示:当负载开通时,负载指示灯(4)常亮。如果负载电流超过了控制器1.25倍的额定电流60秒时,或负载电流超过了控制器1.5倍的额定电流5秒时,故障指示灯(3)为红色慢闪,表示过载,控制器将关闭输出。当负载或负载侧出现短路故障时,控制器将立即关闭输出,故障指示灯(3)快闪。出现上述现象时,用户应当仔细检查负载连接情况,断开有故障的负载后,按一次按键即恢复正常输出。太阳能路灯控制器工作模式设置:设置方法:按下开关设置按钮持续5秒,模式(MODE)显示数字LED闪烁,松开按钮,每按一次转换一个数字,直到LED显示的数字对上用户从表中所选用的模式对应的数字即停止按键,等到LED数字不闪烁即完成设置。每按一次按钮,LED数字点亮,可观察到设置的值。纯光控模式:当没有阳光时,光强降到启动点,控制器延时10分钟确认启动信号后,开通负载,负载开始工作;当有阳光时,光强升到启动点,控制器延时10分钟确认关闭输出信号后关闭输出,负载停止工作。
2023-08-27 13:13:482

设计任务书:设计一个路灯控制器

设计要求一 可以采用光感控制器 现在太阳能路灯的控制器都是这样的光控但是它是太阳能组件传输信号。设计要求二 这个可以采用虚拟模式 具体电路我不太清除 请见谅设计要求三 这个微电脑模式是可以解决的 抱歉本人非电子专业 回答不够专业 仅供参考
2023-08-27 13:14:032

简述可编程控制器系统设计的一般原则和步骤!

想了解台达的PLC联系我!
2023-08-27 13:14:153

可编程控制器控制系统的设计基本过程

丰炜PLC说明资料1-PLC系统设计及选型方法在现代化的工业生产设备中,有大量的数字量及模拟量的控制装置,例如电机的起停,电磁阀的开闭,产品的计数,温度、压力、流量的设定与控制等,工业现场中的这些自动控制问题,若采用可编程控制器(PLC)可以轻松的解决,PLC已成为解决自动控制问题最有效的工具之一,越来越广泛的应用于工业控制领域中,本文简要叙述了PLC控制系统设计的步骤及PLC的基本选型方法,供大家参考。一、可编程控制器应用系统设计与调试的主要步骤( 1 )深入了解和分析被控对象的工艺条件和控制要求 这是整个系统设计的基础,以后的选型、编程、调试都是以此为目标的。a .被控对象就是所要控制的机械、电气设备、生产线或生产过程。 b .控制要求主要指控制的基本方式、应完成的动作、自动工作循环的组成、必要的保护和连锁等。对较复杂的控制系统,还可将控制任务分成几个独立部分,这样可化繁为简,有利于编程和调试。 ( 2 )确定 I/O 设备 根据被控对象的功能要求,确定系统所需的输入、输出设备。常用的输入设备有按钮、选择开关、行程开关、传感器、编码器等,常用的输出设备有继电器、接触器、指示灯、电磁阀、变频器、伺服、步进等。 ( 3 )选择合适的 PLC 类型 根据已确定的用户 I/O 设备,统计所需的输入信号和输出信号的点数,选择合适的 PLC 类型,包括机型的选择、 I/O 模块的选择、特殊模块、电源模块的选择等。 ( 4 )分配 I/O 点 分配 PLC 的输入输出点,编制出输入 / 输出分配表或者画出输入 / 输出端子的接线图。接着就可以进行 PLC 程序设计,同时可进行控制柜或操作台的设计和现场施工。 ( 5 )编写梯形图程序 根据工作功能图表或状态流程图等设计出梯形图即编程。这一步是整个应用系统设计的最核心工作,也是比较困难的一步,要设计好梯形图,首先要十分熟悉控制要求,同时还要有一定的电气设计的实践经验。 ( 6 )进行软件测试 将程序下载到 PLC 后,应先进行测试工作。因为在程序设计过程中,难免会有疏漏的地方。因此在将 PLC 连接到现场设备上去之前,必需进行软件测试,以排除程序中的错误,同时也为整体调试打好基础,缩短整体调试的周期。 ( 7 )应用系统整体调试 在 PLC 软硬件设计和控制柜及现场施工完成后,就可以进行整个系统的联机调试,如果控制系统是由几个部分组成,则应先作局部调试,然后再进行整体调试;如果控制程序的步序较多,则可先进行分段调试,然后再连接起来总调。调试中发现的问题,要逐一排除,直至调试成功。 ( 8 )编制技术文件 系统技术文件包括说明书、电气原理图、电器布置图、电气元件明细表、PLC梯形图等。在PLC系统设计时,确定控制方案后,下一步工作就是PLC的选型工作。应详细分析工艺过程的特点、控制要求,明确控制任务和范围,确定所需的操作和动作,然后根据控制要求,估算输入输出点数、确定PLC的功能、外部设备特性等,最后选择有较高性能价格比的PLC和设计相应的控制系统。下面结合我公司丰炜PLC具体说明一下选型步骤及系统设计时注意事项。二、 PLC 型号的选择( 1 )通讯功能选择根据系统的工艺要求,首先应确定系统用 PLC单机控制,还是用PLC形成网络,以及是否和其他设备有通信,如触摸屏,变频器,检测控制设备等等。这样就可以根据通讯接口数量、类型(RS-232,422,485)及通讯协议,规划PLC类型和通讯扩充卡或通讯扩充模块。( 2 )控制功能选择根据系统的工艺要求,应确定系统是否有A/D、D/A转换,温度采集控制,比例阀控制等工艺要求,选择VB系列相应的特殊模块,同时根据特殊模块数量选择VB系列相应的主机(VB0可接4个特殊模块,VB1可接8个特殊模块,VB2可接16个特殊模块)。( 3 )高速计数及高速脉冲输出选择根据系统的工艺要求,确认系统是否有高速计数或高速脉冲输出及相应的点数和频率,来选择相应型号的主机。丰炜PLC全系列都具有软体32位元上下数带停电保持高速计数器,其中单相计数器11点(最高计数频率10KHz),双相计数器5点(最高计数频率10KHz),A/B相计数器5点(最高计数频率5KHz,VH系列为4点)。VB1系列在软体计数器基础上还有2点最高计数频率高达200KHz的硬体32位元高速计数器。关于高速脉冲输出,VH仅有1点7KHz的脉冲输出,VB0及VB2各有2点7KHz的脉冲输出,VB1有2点20KHz和2点200KHz的脉冲输出(VB1系列专为高速输入及速位控制应用而设计)。其中需要注意的是高速脉冲输出应采用晶体管输出形式,不然很容易损坏。由于高速计数器只有输入端X0-X7 ,当其中某些点被占用后,其对应的其他高速计数器将不可再使用。( 4 )I/O点数及输入输出形式选择要先弄清除控制系统的 I/O 总点数,再按实际所需总点数的 10 ~ 20 %留出备用量(为系统的改造等留有余地)后确定所需 PLC 的点数。然后根据系统的外部电路选择合适的输入输出形式。三.输入回路的设计( 1 )电源回路PLC供电电源一般为 AC85—240V(也有DC24V),适应电源范围较宽,但为了抗干扰,应加装电源净化元件(如电源滤波器、1:1隔离变压器等)。注:丰炜PLC供电交流电源为 AC85—264V直流电源为 DC21—28V。( 2 )PLC上DC24V电源的使用各公司 PLC产品上一般都有DC24V电源,但该电源容量小,为几十毫安至几百毫安,用其带负载时要注意容量,同时作好防短路措施(因为该电源的过载或短路都将影响PLC的运行)。注:丰炜PLC交流电源主机及扩充机自带DC24V输出规格为:DC24V±15% 420mA,且内部有保护电路可有效防止电源短路情况。( 3 )外部DC24V电源若输入回路有 DC24V供电的接近开关、光电开关等,而PLC上DC24V电源容量不够时,要从外部提供DC24V电源,具体接线方法请参阅PLC硬体说明书。( 4 )输入的灵敏度各厂家对PLC的输人端电压和电流都有规定,以丰炜PLC为例,丰炜PLC的输入值为:DC24V、7mA,启动电流为3.5mA以上,关断电流为1.5mA以下,因此,当输入回路串有二极管或电阻(不能完全启动),或者有并联电阻或有漏电流时(不能完全切断),就会有误动作,灵敏度下降,对此应采取措施。另一方面,输入反应时间为10mS(X0~X7 VB系列可变更为0~60mS,VH系列可变更为0~15mS)隔离方式为光耦隔离,VH输入型号形式为无电压接点或NPN开集电极晶体管,VB输入型号形式为无电压接点或NPN/PNP开集电极晶体管。四.输出回路的设计( 1 )各种输出方式之间的比较a.继电器输出:优点是不同公共点之间可带不同的交、直流负载,且电压也可不同,带负载电流可达2A/点;但继电器输出方式不适用于高频动作的负载,这是由继电器的寿命及响应时间决定的。其寿命随带负载电流的增加而减少,一般在10万次以上,响应时间为10msb.晶体管输出:最大优点是适应于高频动作,响应时间短,OFF→ON:20uS以下,ON→OFF:100uS以下,但它只能带 DC 5—30V的负载,最大输出负载电流为0.5A/点,但每4点共COM不得大于0.8A。( 2 )抗干扰与外部互锁当PLC输出带感性负载,负载断电时会对PLC的输出造成浪涌冲击,为此,对直流感性负载应在其旁边并接续流二极管,对交流感性负载应并接浪涌吸收电路,可有效保护PLC。用于正反转的接触器同时合上是十分危险的事情,像这样的负载除了在PLC内部已进行软件互锁外,在PLC的外部也应进行互锁,以加强系统的可靠性。( 3 )“COM”点的选择不同的 PLC产品,其“COM”点的数量是不一样的,有的一个“COM”点带4个输出点,有的带1个输出点。当负载的种类多,且电流大时,采用一个“COM”点带1个输出点的 PLC产品;当负载数量多而种类少时,采用一个“COM”点带4个输出点的PLC产品,这样会对电路设计带来很多方便。每个“COM”点处加一熔丝,以继电器输出为例,1个输出时加2—3A的熔丝,4点输出的加5—10A的熔丝,因为PLC内部一般没有熔丝,为避免负载短路而烧毁基板,请在外部安装保险丝。( 4 )PLC外部驱动电路对于 PLC输出不能直接带动负载的情况下,必须在外部采用驱动电路:可以用三极管驱,也可以用固态继电器或晶闸管电路驱动,同时应采用保护电路和浪涌吸收电路,且每路有显示二极管(LED)指示。印制板应做成插拔式,易于维修。丰炜新推出的VB系列IDC连接器机型,就提供MOSFET及继电器转接模组的,在以后的资料中我们会详细说明。五.扩充模块及特殊模块之电源供应PLC主机及扩充机本身具备电源供给电路,而扩充模块及特殊模块的电源供应(5V及12V,5V用于提供CPU、总线及指示灯电压,12V用于提供驱动负载电压),必须依赖主机及扩充机提供,VB系列还可以借助VB-PWR电源扩充模块提供。六.PLC的输入输出布线PLC的输入输出布线也有一定的要求,请看各产品的使用说明书。
2023-08-27 13:14:442

液位控制器的设计方案

液位控制器是指通过机械式或电子式的方法来进行高低液位的控制,可以控制电磁阀、水泵等,从而来实现半自动化或者全自动化,方法有多种,根据选用不同的产品而不同。具体设计方案可参考:http://www.dxzk88.com/ywkzq.html
2023-08-27 13:14:542

基于8051单片机的智能路灯控制器的软件设计

我是学机电一体化的,但是才大1,要大2才学单片机......你能等到那时候吗?
2023-08-27 13:15:222

硬布线控制器的设计注意

(1) 采用适宜指令格式,合理分配指令操作码;(2) 确定机器周期、节拍与主频;(3) 确定机器周期数及一周期内的操作;(4) 进行指令综合; 综合所有指令的每一个操作命令,写出逻辑表达式,并进行化简。(5) 明确组合逻辑电路。 将简化后的逻辑表达式用组合逻辑电路来实现。操作命令的控制信号先用逻辑表达式列出,进行化简,考虑各种条件的约束,合理选用逻辑门电路、触发器等器件,采用组合逻辑电路的设计方法产生控制信号。总之,控制信号的设计与实现,技巧性较强,一些专门的开发系统或工具供逻辑设计使用,但是,对全局的考虑主要依靠设计人员的智慧和经验实现。 硬布线控制器与微程序控制器相比较,在操作控制信号的形成上有较大的区别外,其它没有本质的区别。对于实现相同的一条指令,不管是采用硬布线控制还是采用微程序控制技术,都可以采用多种逻辑设计方案,导致了各种不同的控制器在具体实现方法和手段上的区别,性能差异。硬布线控制与微程序控制的主要区别归纳为如下方面: 在同样的半导体工艺条件下,微程序控制的速度比硬布线控制的速度低,因为执行每条微程序指令都要从控制存储器中读取,影响了速度;而硬布线控制逻辑主要取决于电路延时,因而在超高速机器中,对影响速度的关键部分如核心部件CPU,往往采用硬布线逻辑实现。在一些新型计算机系统中,例如,RISC(精简指令系统计算机)中,一般都选用硬布线逻辑电路。
2023-08-27 13:15:321

模糊控制器的设计步骤主要有哪些

主要有四个步骤:1、模糊控制器的结构设计;2、模糊控制规则的选取及参数的确定;3、模糊化的解模糊方法的确定;4、模糊控制软件的开发。
2023-08-27 13:15:461

通用步进电机控制器设计(精通单片机汇编语言的朋友进)

这种资料到处都是不用浪费分数在这里求教的
2023-08-27 13:15:564

网络型电机控制器的设计

控制器中电子换相电路的设计方法有很多。对于有位置传感器的无刷电机,用中小规模数字集成电路和经典的数字电路设计方法即可实现。例如,用可编程逻辑器件CPLD可在计算机上完成硬件设计、波形仿真和下载。目前用于无刷电机控制的专用集成电路种类有很多,如MOTOROLA公司生产的MC33035、东芝公司生产的TA7247芯片等。这些专用芯片对使用电压、工作电流及电机类型都有一定要求。实践证明使用专用芯片设计控制器在芯片的选择、驱动电路设计及试验等环节并非省时省力。在参考和分析了多种专用集成电路工作原理后,用PIC单片机设计出两种有位置传感器的无刷电机控制器,同时与专用集成电路设计的控制器进行了对比,得出以下三方面结论: (1)单片机在改变功能和价格上优于专用芯片; (2)软件程序便于加密,有利于知识产权的保护; (3)软件编程灵活,可根据用户需求增加和完善功能。 有了PIC单片机的控制核,只要改变外围驱动、保护、输出电路,即可对不同功率、不同电压或内部结构不同的无刷直流电机实现控制。1 控制核心 控制器采用PIC16F877或PIC16F876单片机,使用的功能和接口有:八路输入(PIC16F876为四路输入)10位A/D转换器,分辨率达十位的PWM脉宽调制输出口CCP1,可响应外部逻辑电平变化时产生中断的端口B0、B4、B5,用于换相输出的端口C。核心部分结构如图1和图2所示。2 高电压大功率控制器工作原理 该控制器是针对大功率高电压无刷直流电机设计的,电源取自220V交流电压整流滤波后产生的300V左右的直流电压(电机功率范围为500W~2 000W)来对智能功率模块输出部分供电。为简化硬件电路,降低元器件成本,单片机及相关的控制电路供电部分由一只小变压器降压后提供。低压电源提供五组独立输出,其中一组给单片机供电,完全隔离。另四组提供给功率模块内部驱动电路。整个控制部分所需电流较小,除5V输出采用全桥式整流外,其他四路采用单只二极管半波整流。变压器功率5W左右即可满足要求,加工成本也较低,变压器和其他元器件焊在同一块电路板上,体积和重量是可以接受的。 电路工作原理如下: 单片机上电后,程序对输入输出端口进行设定,端口A为模拟量输入,端口B、C设为数字量输入和输出,设定工作通过写专用寄存器实现。程序初始化工作完成后,检测起/停按钮,当按下按钮时,进入工作程序,检查位置传感器信号和速度调整电位器电压值,将这些数据在单片机内部处理后,调整PWM的脉冲宽度,输出对应的电机驱动控制信号。 中断服务程序可提高工作效率。当位置传感器的三个输入引脚电平随之变化时,在单片机内部产生中断,中断服务程序完成输入和输出的译码,输出控制信号产生磁极变位,此过程连续下去产生一个相位超前的旋转磁场,驱动电机运转。 在未进入中断服务时,单片机可设置A/D转换、读取A/D数据、电机起/停检测、过流检测等多项内容,单片机工作时具有较高的实时性。3 低电压中小功率控制器工作原理 该控制器供电电源取自蓄电池组,电池电压根据电机功率(180W~500W)由电机生产厂商提供。电机电压可分为24V、36V、48V、60V。电路中不设隔离电源,单片机+5V电源和驱动电路+15V电源由电池降压稳压后提供。电路中采用六只N沟道功率MOS场效应管,驱动部分采用专用集成电路IR2102(关于IR2102的工作原理将在电路的驱动和输出部分详细介绍)。在低电压驱动器中用MOSFET管代替智能功率器件,取消了高速光电隔离、电源整流、滤波、隔离供电等部分,硬件费用降低,是一种低成本的无刷电机控制器。考虑到使用中会出现电流过载和蓄电池电压过低的情况,该电路中增加了过电流检测和欠压检测部分。单片机软件部分除具备大功率控制器的功能外,还需要针对过流和欠压进行监控。4 智能功率模块(输出部分) 大功率控制器输出部分选用三菱公司的智能功率输出模块PMXXCSJ060。与常规的IGBT功率输出管相比,该芯片属于成熟的第三代高频IPM集成器件,其内部采用绝缘基板工艺,内置栅级驱动和保护电路,根据电机功率的大小可选用不同额定电流的模块。例如20A 耐压600V的功率器件型号为PM20CSJ060,其中,20代表额定电流20A,060代表耐压600V,详细资料可通过三菱公司网站查询。5 专用MOS驱动芯片IR2102 用于低压控制器的驱动芯片IR2102是美国国际整流器公司(International Rectifier Company)的产品,可驱动MOSFET 或IGBT。该芯片的应用简化了驱动电路的设计。IR2102内部采用自举技术设计出悬浮电源,实现一相两个N沟道逆变桥输出电路的控制,如图3所示。其工作原理如下:IR2102为8引脚芯片,HIN和LIN分别为高侧MOS管和低侧MOS管驱动输入端(低电平有效),C为自举电容,D为充电二极管。为防止输出桥臂短路,禁止HIN、LIN同时为低电平。当HIN为高电平、LIN为低电平时,输出LO比低电位高15V,MOS管Q2导通;反之当HIN低电平、LIN为高电平时,开始HO比VS高出15V(注意:这个电压是对电容充电的结果),随着时间的延续,电容会通过电阻R13、R27放电,导致电压不断下降。Q1导通时间与电容C容量有关,当脉宽调制频率在5kHz以上时,电容C选用0.1μF即可。关于IR2102的详细资料可通过网站www.IRF.com.cn查询。6 软件设计 对有位置传感器的无刷电机而言,多数电机位置传感器状态分60°和120°两种,在未知电角度的情况下,可用数字逻辑分析仪对位置状态的三个输出进行测量,测量时匀速转动电动机转子,测量的输出波形如图4所示。每六个状态为一个电角度周期,重复这一过程。根据实测结果列出电机顺时针和逆时针转动六状态输入输出表,作为编程时的原始数据写入程序。程序内容包括: (1)初始化设置 设置相关端口(端口A、B、C、E),通过写入专用寄存器实现。 (2)主程序内容 单片机内部功能模块包括A/D转换器、PWM功能的设置,将电机正反转输入输出表数据写入指定存储器单元。开放中断,确定正反转状态,循环检测电机起/停工作按钮电平。 (3)中断服务程序 当电机运转位置(六状态之一)发生变化时,单片机响应中断进入中断服务程序,在此完成输入输出的译码、滤除错码、调整B0口电平中断方式等内容。 (4)子程序 子程序包括延时程序、RB0输入端中断电平调整程序、A/D转换程序。 软件流程图如图5所示。无刷直流电机应用领域近几年发展非常迅速,证明它自身有很多不可替代的优势,各种类型的控制器也应用而生。在追求高效、宽调速范围的前提下,控制器的高可靠性是制约电机应用普及的关键因素。一方面新型的智能功率器件不断出现,为设计人员提供了更好的硬件基础;另一方面软件设计也是提高可靠性的重要环节,好的软件设计可在很大程度上弥补硬件设计的不足,这些也正是很多工程技术人员追求的目标。
2023-08-27 13:16:041

控制器有哪几部分组成?

控制器由程序计数器、指令寄存器、指令译码器、时序产生器和操作控制器组成。
2023-08-27 13:16:294

基于单片机的空调控制器的设计与实现

一、 目的单片机综合练习是一项综合性的专业实践活动,目的是让学生将所学的基础理论和专业知识运用到具体的工程实践中,以培养学生综合运用知识能力、实际动手能力和工程实践能力,为此后的毕业设计打下良好的基础。二、 任务本次单片机综合练习的任务是设计并制作一个空调控制器。基本任务是利用AT89C51单片机、ADC0809模数转换器等芯片设计并制作一个具有制冷、制热、通风和自动运行的手控型空调控制器。三、硬件部分的具体内容和要求 1.手控型空调控制器的功能:1)空调控制器应具有制冷、制热、通风和自动运行四种工作模式。a. 制冷:室内风机、压缩机及室外风机工作,而四通换向阀停止工作。b. 制热:室内风机、压缩机、室外风机和四通换向阀均工作。c. 通风:室内风机工作,而压缩机、室外风机和四通换向阀均不工作。d. 自动运行:能根据当前室内温度和自动运行的设定温度,自动选择制冷、制热或通风工作模式。e. 每按一下工作模式选择键时,工作模式按图3所示的箭头方向依此变换:图3 工作模式选择 2).能对温度进行设定和控制: a. 制冷时温度调节范围为:20℃~32℃。当室内温度高于设定温度1℃时,开始制冷;而当室内温度降到设定温度时,则转为通风状态。b. 制热时温度调节范围为:14℃~30℃。当室内温度低于设定温度1℃时,开始制热;而当室内温度升到设定温度时,则转为通风状态。c. 通风时温度设置栏显示" 一 一 ",并且温度设置键无效。d. 自动运行温度调节范围为:25℃、27℃、29℃。若室内温度低于设定温度5℃时,自动按制热工作模式运行;若室内温度高于设定温度时,则按制冷模式运行;否则按通风模式运行。e. 温度设定键每按一下,则温度上升或下降1℃(在设定范围内)。f. 控温精度为±1℃ 3).室内风机具有高、中、低三档风速和自动风控制功能。每按一下风速选择键时,风速模式按图4所示的箭头方向依此变换:图4 风速模式选择其中自动风与工作模式及温度有关:a. 制冷时,当室内温度高于设定温度5℃时,为高速风; 当室内温度高于设定温度2℃~5℃时,为中速风; 当室内温度不高于设定温度2℃时,为低速风;b. 制热时,当室内温度低于设定温度5℃时,为高速风; 当室内温度低于设定温度2℃~5℃时,为中速风; 当室内温度不低于设定温度2℃时,为低速风;c. 通风时,当室内温度高于25℃时,为高速风; 当室内温度介于20℃~25℃时,为中速风; 当室内温度低于设定温度20℃时,为低速风; 4).具有压缩机三分钟自动保护功能。由于家用空调器所使用的压缩机大多为电容启动运行电动机,带载启动能力较差,因此无论在制冷运行还是在制热运行时,当压缩机停止工作后,必须在三分钟后才允许重新启动。 2.电路设计、制作的功能和要求: 1)用6只共阴极的八段数码管来分别显示工作模式、风速状态、设定温度和室内温度。为了统一起见,对6只八段数码管的具体排列和工作状态的显示符号作如下规定:室内温度 设定温度 风速状态:低速档用" "表示 中速档用" "表示 高速档用" "表示 自动档用" "表示 工作模式:制冷模式用"L"表示 制热模式用"H"表示 通风模式用"F"表示 自动模式用" "表示 2)用5只按钮来分别作为启动/关闭键、工作模式键、风速选择键、温度设定上升键和下降键。(此外还有1只系统复位按钮,共6只) 3)上电后,自动显示自动工作模式、自动风速档、设定温度27℃和实际室内温度,这时用户可以对工作模式、风速档、设定温度进行设定,但只有在按下启动/关闭键后,空调器才正式开始运行;在空调器运行期间,若 对上述状态进行设定,则空调器马上开始执行。若关机后(非断电)重新启动空调器,则空调器自动进入上次关机前的设定状态。 4)用6只LED发光二极管来分别表示室内风速的高、中、低三档,压缩机、室外风机和四通换向阀,所有发光二极管均要求用2003达林顿管或三极管放大驱动。 5)温度传感器采用AT502热敏电阻。3.空调控制器硬件电路图4.硬件设计思想1)根据任务书可知,该系统需要人机界面(按键输入7段码LED显示),AD采样,以及单片机控制部分等模块,并且可以得到以下硬件系统框图 2)各部分硬件的设计a.温度传感器选择 根据任务要求我们选择了AT502作为温度传感器,根据电阻分压(如下图左),实现由温度到电压值的转换,因为AT502的温度系数比较大,经计算当温度变化范围是0-99度时,IN0口的电压范围是0.64-3.6伏,所以就可以不用运放,直接送到AD采样的输入端进行AD采样。 b.AD芯片的选择 因为温度变化范围是0-99度,理论上AD位数只要7位(128级)就够了,所以系统采用了经典的ADC0809(8位AD)作为AD采样芯片。 温度的计算公式:V=5*Rt/(R+R1+Rt) c.按键输入: 因为按键数目不多,所以系统直接采用非编码方式,直接连接单片机I/O口。d.显示部分:系统采用74HC573和ULN2003作为驱动,P0和P2作为输出口,控制动态显示的LED显示器。 e.输出控制任务要求用6只LED发光二极管来分别表示室内风速的高、中、低三档,压缩机、室外风机和四通换向阀,51单片机的低电平驱动能力较强,LED可以直接连接单片机的I/O口。
2023-08-27 13:16:561

单片机课程设计: 彩灯控制器的设计

程序可以写,电路图也可以画...但是论文就.....没有时间限定就CALL我
2023-08-27 13:17:061

控制指令饱和受限的情况下该怎么设计控制器

计算机系统的硬件结构主要由四部分组成:控制器、运算器、内存和输入输出设备,其中,控制器和运算器统称为中央处理器。简称CPU.它是计算机硬件系统的指挥中心.它包括控制器和运算器两个部件,其中,控制器的功能是控制计算机各部分协调工作,运算器则是负责计算机的算术运算和逻辑运算. (一) 运算器 1、 算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 2、 浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 3、通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 在通用寄存器的设计上,RISC与CISC有着很大的不同。CISC的寄存器通常很少,主要是受了当时硬件成本所限。比如x86指令集只有8个通用寄存器。所以,CISC的CPU执行是大多数时间是在访问存储器中的数据,而不是寄存器中的。这就拖慢了整个系统的速度。而RISC系统往往具有非常多的通用寄存器,并采用了重叠寄存器窗口和寄存器堆等技术使寄存器资源得到充分的利用。 对于x86指令集只支持8个通用寄存器的缺点,Intel和AMD的最新CPU都采用了一种叫做“寄存器重命名”的技术,这种技术使x86CPU的寄存器可以突破8个的限制,达到32个甚至更多。不过,相对于RISC来说,这种技术的寄存器操作要多出一个时钟周期,用来对寄存器进行重命名。 4、 专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 (二) 控制器 运算器只能完成运算,而控制器用于控制着整个CPU的工作。 1、 指令控制器 指令控制器是控制器中相当重要的部分,它要完成取指令、分析指令等操作,然后交给执行单元(ALU或FPU)来执行,同时还要形成下一条指令的地址。 2、 时序控制器 时序控制器的作用是为每条指令按时间顺序提供控制信号。时序控制器包括时钟发生器和倍频定义单元,其中时钟发生器由石英晶体振荡器发出非常稳定的脉冲信号,就是CPU的主频;而倍频定义单元则定义了CPU主频是存储器频率(总线频率)的几倍。 3、 总线控制器 总线控制器主要用于控制CPU的内外部总线,包括地址总线、数据总线、控制总线等等。 4、中断控制器 中断控制器用于控制各种各样的中断请求,并根据优先级的高低对中断请求进行排队,逐个交给CPU处理。
2023-08-27 13:17:141

EDA课程设计:彩灯控制器

以前做的设计,粘贴时图形没出来,参考一下,记得给分啊一.设计目的1、学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2、进一步掌握数字电路课程所学的知识。3、了解数字电路设计的一般思路,进一步解决和分析问题。4、培养自己的编程和谨慎的学习态度二、.设计题目内容和要求(1)课题内容: 用EDA技术设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花型(自拟);随着彩灯显示图案的变化,发出不同的音响声。要求使用7段数码管显示当前显示的花型,如第一种花型显示A1,第二种花型显示b2,第三种花型显示C3(2)主要任务:完成该系统的硬件和软件的设计,并利用实验箱制作出实物演示,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计报告。三、总体方案设计与选择1 总体方案的设计 方案一:电路分为三个部分:彩灯花型模块、声音模块,时钟模块。用时钟控制声音和花型,整体使用相同的变量与信号,主体框图如下; 图三—1-1方案一的的流程图方案二:电路分为五个模块:分频器模块、16进制计数器、4进制计数器,4选1选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个16进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。整体框图如下: 图三—1-2方案二的流程图2、方案的选择 方案一是将融合在一起,原理思路简单,元件种类使用少,但是在编程时要使用同一变量和信号,这样就会给编程带来很大的困难,另外中间单元连线较多,不容易检查,门电路使用较多,电路的抗干扰能力会下降。 方案二将彩灯花型控制与声音控制分开,各单元电路只实现一种功能,电路设计模块化,且编程时将工作量分开,出现错误时较容易检查,连线较少且容易组装和调试。 结合两个方案的优缺点,我选择容易编程、组装和调试的方案二。 四、模块电路的设计 1、分频器模块设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。模块说明:Rst:输入信号 复位信号 用来复位分频器的输出使输出为“0”,及没有音乐输出。Clk:输入信号 模块的功能即为分频输入的频率信号。Clk_4、clk8、clk_12、clk_16:输出信号 即为分频模块对输入信号clk的分频,分别为1/4分频输出、1/8分频输出、1/12分频输出、1/16分频输出。不同的频率会发出不同的声音。如图 图四-1分频器电路图 2、16进制计数器16进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。Rst:输入信号 复位信号 用来复位16进制使其输出为“00000”,即彩灯不亮。Clk1:输入信号 用来给模块提供工作频率。Count_out[3..0]:输出信号 即为16进制计数器的输出,此输出信号作为彩灯的输入信号。如图四-2 图四-2 16进制计数器电路图3、4进制计数器模块4进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控制扬声器工作。Clk2:输入信号 来为计数器提供工作频率。Rst:输入信号 复位信号 使计数器的输出为“00”。如图四-3 图四-3 4进制计数器电路图4、4选1选择器模块Rst:输入信号复位信号使选择器的输出为“0”。In1、in2、in3、in4:输入信号接分频器的输出。Inp[1..0]:输入信号接4进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出。Output2:输出信号直接接扬声器即输出的是不同的频率来控制扬声器播放声音如图四—4 图四—4 4选1选择器电路图5、彩灯控制模块 彩灯控制采用的模式6来进行显示。 图四—5—1模式6结构图彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。Rst:输入信号 使彩灯控制模块的输出为“00000000”,即让彩灯无输出。Input[4..0]:输入信号 不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。Output3[7..0]:输出信号 直接与数码管相连来控制数码管。如图四—5—2图四-5-2 彩灯控制电路图五、EDA设计与仿真1、源程序:----------------------------------------------分频器模块----------------------------------------- LIBRARYieee;USEieee.std_logic_1164.all; ENTITYfenpinqi IS PORT ( clk2,rst :IN std_logic; clk_12,clk_4,clk_16,clk_8 : OUT std_logic ); ENDfenpinqi; ARCHITECTUREcd OF fenpinqi ISbeginp1:process(clk2,rst) variable a:integer range 0 to 20; begin if rst="1" then clk_4<="0"; ----- 复位信号控制部分 else if clk2"event and clk2="1"then if a>=3 then a:=0; clk_4<="1"; else a:=a+1; clk_4<="0"; end if; end if; end if;endprocess p1; p2:process(clk2,rst) variable b:integer range 0 to 20; begin if rst="1" then clk_16<="0"; ----- 复位信号控制部分 else if clk2"event and clk2="1"then if b>=15 then b:=0; clk_16<="1"; else b:=b+1; clk_16<="0"; end if; end if; end if;endprocess p2; p3:process(clk2,rst) variable c:integer range 0 to 20; begin if rst="1" then clk_8<="0"; ----- 复位信号控制部分 else if clk2"event and clk2="1"then if c>=7 then c:=0; clk_8<="1"; else c:=c+1; clk_8<="0"; end if; end if; end if;endprocess p3; p4:process(clk2,rst) variable d:integer range 0 to 40; begin if rst="1" then clk_12<="0"; ----- 复位信号控制部分 else if clk2"event and clk2="1"then if d>=11 then d:=0; clk_12<="1"; else d:=d+1; clk_12<="0"; end if; end if; end if;endprocess p4;endcd;----------------------------------------------4选1选择器---------------------------------------LIBRARYieee;USEieee.std_logic_1164.all; ENTITYxzq4_1 IS PORT ( rst:in std_logic; inp:in integer range 0 to 3; in1,in2,in3,in4 : In std_logic; output2 :OUT std_logic ); ENDxzq4_1; ARCHITECTUREa OF xzq4_1 IS BEGIN PROCESS (rst,inp) BEGIN if(rst="1") then output2<="0"; else case inp is when 0=>output2<=in1; when 1=>output2<=in2; when 2=>output2<=in3; when 3=>output2<=in4; when others=>null; end case; end if; END PROCESS; ENDa;-------------------------------------------彩灯控制模块----------------------------------------LIBRARYieee;USEieee.std_logic_1164.all; ENTITYcaideng IS PORT ( input :IN INTEGER RANGE0 TO 15; rst:in std_logic; output3 :OUT std_logic_vector(7 downto 0); sm :out std_logic_vector(6 downto 0) ); ENDcaideng; ARCHITECTUREa OF caideng IS BEGIN PROCESS (input) BEGIN if rst="1" thenoutput3<="00000000";sm<="0000000"; else case input is when 0=>output3<="00111000";sm<="0000110"; when1=>output3<="00001111";sm<="0000110"; when2=>output3<="00111110";sm<="0000110"; when3=>output3<="01111111";sm<="0000110"; when4=>output3<="01011011";sm<="1011011";when5=>output3<="01110110";sm<="1011011"; when6=>output3<="00001111";sm<="1011011"; when7=>output3<="01111111";sm<="1011011"; when8=>output3<="01101101";sm<="1001111"; when9=>output3<="00000111";sm<="1001111"; when10=>output3<="01110111";sm<="1001111"; when11=>output3<="01111011";sm<="1001111"; when12=>output3<="00111000";sm<="1100110"; when13=>output3<="00111111";sm<="1100110"; when14=>output3<="00111110";sm<="1100110"; when 15=>output3<="01111001";sm<="1100110"; when others=>null; end case; end if; end process; end a; --------------------------------------------16进制计数器模块----------------------------------- LIBRARYieee;USEieee.std_logic_1164.all; ENTITYcounter_16 IS PORT ( clk,rst :IN std_logic; count_out :OUT INTEGER RANGE0 TO 15); ENDcounter_16; ARCHITECTUREa OF counter_16 IS BEGIN PROCESS (rst,clk) variable temp:integer range 0 to 16; BEGIN IF rst="1" THEN temp:=0; ELSIF (clk"event and clk="1") THEN temp:=temp+1; if(temp=15) then temp:=0; end if; END IF; count_out<=temp; END PROCESS; ENDa;-------------------------------4进制计数器模块----------------------------------LIBRARYieee;USEieee.std_logic_1164.all; ENTITYcounter_4 IS PORT ( clk,rst :IN std_logic; count_out :OUT integer range 0 to 3 ); ENDcounter_4; ARCHITECTUREa OF counter_4 IS BEGIN PROCESS (rst,clk) variable temp:integer range 0 to 16; BEGIN IF rst="1" THEN temp:=0; ELSIF (clk"event and clk="1") THEN temp:=temp+1; if(temp=4) then temp:=0; end if; END IF; count_out<=temp; END PROCESS; ENDa;-------------------------------------------主程序----------------------------------LIBRARYieee;USEieee.std_logic_1164.all; ENTITYproject IS PORT (clk1,rst,clk2: IN std_logic; Out1: OUT std_logic_vector(7 downto 0); Out2 :out std_logic_vector(6 downto0); Out3: OUT std_logic);ENDproject; ARCHITECTUREstruct OF project ISCOMPONENT counter_16 IS PORT(clk,rst : IN std_logic; count_out : OUT integer range 0 to 15 );ENDCOMPONENT; COMPONENT fenpinqi IS PORT(clk2,rst : IN std_logic; clk_12,clk_4,clk_16,clk_8 : OUT std_logic); END COMPONENT ; COMPONENT counter_4 IS PORT(clk,rst :IN std_logic; count_out :OUT integer range 0 to 3 ); ENDCOMPONENT; COMPONENT xzq4_1 IS PORT ( rst:in std_logic; inp:in integer range 0 to 3; in1,in2,in3,in4 : In std_logic; output2 :OUT std_logic ); ENDCOMPONENT;COMPONENT caideng IS PORT ( input: IN INTEGER RANGE 0 TO 15; rst:in std_logic; output3 :OUT std_logic_vector(7 downto 0); sm :out std_logic_vector(6 downto 0) ); ENDCOMPONENT; SIGNALu: integer range 0 to 15; SIGNALw: integer range 0 to 3; SIGNALv1,v2,v3,v4: std_logic; BEGINU1:counter_16PORT MAP(clk1,rst,u);U2:fenpinqiPORT MAP(clk2,rst, v1,v2,v3,v4);U3:counter_4PORT MAP(v3,rst,w);U4:xzq4_1 PORT MAP(rst,w, v1,v2,v3,v4,out3);U5:caidengPORT MAP(u,rst,out1,out2);ENDstruct;2、彩灯控制器仿真结果及数据分析分析:如上图,clk1控制的是彩灯模块,clk2控制的是声音模块,当rst为高电平是输出全为0,ck1每出现四个高电平,花型发生一次变化,out2分别显示1、2、3、4,out1显示不同的花型,out3发出声音,如图脉冲数不同表示发出的声音不同,但是声音与花型相比有一定的延迟。六、硬件实现1、引脚锁定图2、硬件仿真图显示第一组花型之一显示第二组花型之一显示第三组花型之一显示的第四组花型之一 七、总体电路整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟来控制一个是控制16进制计数器即控制彩灯控制模块来实现彩灯的不同输出,另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音乐,为了使效果明显尽量达到要求分频处理的时钟的频率比实现彩灯控制的时钟频率要高。将各个模块连在一起采用在课程中学到的元件例化,将各个模块的引脚连在一起,使之成为一个整体。元件例化是VHDL设计实体构自上而下层次化设计的重要途径。整体电路如图五—1 图七—1 整体电路图八、心得体会1、在设计时遇到一些主要问题如下:怎么将各个模块连在一起、开始硬件仿真时总是出现错误,设计方案的选择。最后我选择了元件例化将各个模块连在一起,仿真时是因为短路帽接错了,当时没有注意,在方案的选择时我们选了实现比较简单的分模块方案2、这次的EDA课程设计我学到得东西很多明白了理论与实践之间的差距,而且对DEA课程有了更深入的理解,尤其是知道了怎么去应用所学的知识,怎么去利用网络实现自己的要求,具体体会如下:(1)要想完成编程就要对DEA知识很熟悉,这样才能加快编程的速度,另外在编程时一定要小心,稍微有一点粗心都会有很多的错误出现,在出现错误后要学会寻找错误原因如名称前后不一、数据类型不同、符号写错等等(2)拿道题目后要注意分析,要学会总体把握,然后再一一一细化、学会将复杂的问题简单化,分析时一定要有一个明确的目标。(3)要学会理论联系实际,在程序导入到实验箱后,居然不显示结果,认真的检查看看操作是否有错误、试验箱中该短路的是否已用短路帽短路、又重新检查了一下程序,结果发现是短路帽接错了,所以看似很简单的操作自己操作起来可能会有很大的漏洞,所以亲自动手是很重要的。(4)当自己的只是有限时,要注意运用网络等一切资源,要学会知识的灵活运用在查阅的过程中学到了很多在书本所没有学到的知识,通过查阅相关资料进一步加深了对EDA的了解总的来说,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来,才能提高自己的实际动手能力和独立思考的能力。还有最重要的一点就是要有一丝不苟的精神和端正认真的态度,遇到困难后要学会积极的面对。3、在此设计中声音会有一定的延迟,可以考虑用花型输出信号作为4选1的控制信号九、参考书目:赵伟军,《Protel99se教程》,北京,人民邮电出版社,1996年金西,《VHDL与复杂数字系统设计》,西安,西安电子科技大学出版社,2003汉泽西,《EDA技术及其应用》,北京,北京航空航天大学出版社,2004[4] 黄任,《VHDL入门.解惑.经典实例.经验总结》,北京,北京航空航天大学出版社,2005[5] 李洋,《EDA技术 使用教程》,北京,机械工业出版社,2009[6] 网络资源:EDA课程设计、EDA课程设计—彩灯控制器等
2023-08-27 13:17:241

CPU是由哪两个部分组成?

CPU是由运算器和控制器这两个部分组成的。1、运算器由算术逻辑单元(ALU)、累加器、状态寄存器、通用寄存器组等组成。算术逻辑运算单元(ALU)的基本功能为加、减、乘、除四则运算,与、或、非、异或等逻辑操作,以及移位、求补等操作。计算机运行时,运算器的操作和操作种类由控制器决定。运算器处理的数据来自存储器;处理后的结果数据通常送回存储器,或暂时寄存在运算器中。与Control Unit共同组成了CPU的核心部分。2、控制器分组合逻辑控制器和微程序控制器,两种控制器各有长处和短处。组合逻辑控制器设计麻烦,结构复杂,一旦设计完成,就不能再修改或扩充,但它的速度快。微程序控制器设计方便,结构简单,修改或扩充都方便,修改一条机器指令的功能,只需重编所对应的微程序;要增加一条机器指令,只需在控制存储器中增加一段微程序,但是,它是通过执行一段微程。具体对比如下:组合逻辑控制器又称硬布线控制器,由逻辑电路构成,完全靠硬件来实现指令的功能。扩展资料CPU主要功能:1、顺序控制:这是指控制程序中指令的执行顺序。程序中的各指令之间是有严格顺序的,必须严格按程序规定的顺序执行,才能保证计算机工作的正确性。2、操作控制:一条指令的功能往往是由计算机中的部件执行一系列的操作来实现的。CPU要根据指令的功能,产生相应的操作控制信号,发给相应的部件,从而控制这些部件按指令的要求进行动作。3、时间控制:就是对各种操作实施时间上的定时。在一条指令的执行过程中,在什么时间做什么操作均应受到严格的控制。只有这样,计算机才能有条不紊地自动工作。4、数据加工:即对数据进行算术运算和逻辑运算,或进行其他的信息处理。参考资料来源:百度百科--CPU
2023-08-27 13:17:351

简易交通灯控制电路设计

1.任务与要求设计一个十字路口的红、绿、黄三色信号交通灯控制电路,具体要求如下:1)用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。2)由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50s,支干道每次放行30s。在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。3)能实现正常的、即时显示功能,用实验箱上的4个七段数码管作为到计时显示器,分别显示东西、南北方向的红灯、绿灯、黄灯时间。4)能实现特殊状态的功能显示,设s为特殊状态的传感器信号,当s=1时,进入特殊状态。当s=0时,退出特殊状态。按s后,能实现特殊状态功能:(1)显示器闪烁;(2)计数器停止计数并保持在原来的数据;(3)东西、南北路口均显示红灯状态;(4)特殊状态结束后,能继续对时间进行计数。5)能实现控制器总清零功能按下r后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。2.根据设计任务与要求,画出设计总电路图,写出设计报告
2023-08-27 13:17:582

循环彩灯控制器的课程设计

1.本课题的研究意义本设计通过对彩灯的设计,训练对电气、单片机、电子技术等内容的应用能力,掌握对电子产品设计的流程以及各种要求。彩灯技术已广泛得在霓虹灯、广告彩灯、汽车车灯等领域中应用。单片机的控制电路的设计是彩灯应用的一个瓶颈。毕业设计主要培养学生综合运用所学的知识与技能分析与解决问题的能力,并巩固和扩大学生的课堂知识。通过毕业设计学会查阅、使用各种专业资料和网上资源,并以严肃认真、深入研究的工作作风完成设计任务,逐步向工程技术员转变,培养毕业生独立完成任务的能力,体现和检验综合设计能力,大力提高毕业生的技术水平,培养新一代既有理论、又有动手能力的实用性人才,以适应国际建设和发展的需要。了解彩灯线路的基本理论,掌握单片机编程的基本设计方法和分析方法,对能够熟练地进行彩灯应用电路的设计与制作是十分必要和重要的。2.本课题的基本内容该本本课题介绍一种基于在系统可编程器件(ISP)来实现的可编程的现代彩灯控制系统。该方案的优点是系统体积小、功耗小、可靠性高、调节灵活、多功能、多花案、使用灵活方便。该控制系统可控制的灯具数为64组,控制方案多达64种,能根据需要减少或扩展灯具组数和控制方案的种数,可以控制发光电压至220V的大彩灯、霓虹灯。用8种频率信号控制彩灯扫描速度,每次大循环下来可自动也可手动改变扫描速度,且每次循环后可控制语音集成电路放一段话或音乐,并可随时改变扫描速度。通过把64组彩灯在空间适当排列组合,可得各种花案效果,该彩灯控制系统除用于高楼大厦室外点缀外,还可用于家庭、大酒店和娱乐场所等的室内装潢。利用T0定时器作为定时基本单位,根据模式需要计算好各控制信号的发生时刻,根据不同的模式Mo del_i可以设定不同的工作时间Ti和脉冲翻转频率Fi通过P0口输出,使各色L ED灯的驱动时刻与移位触发的翻转时刻步调一致,使LED彩灯按照设计的模式工作。除了T0定时中断之外,程序的大部份时间是在处理按键的查询和LED显示的延时。毕 业 论 文 开 题 报 告3.本课题的重点和难点1、 彩灯要求发射频率稳定,抗干扰能力强,亮度距离适中。2、 彩灯工作频率同样要求稳定。3、 单片机原理图的设计,印刷板的设计布局及元器件参数的选择。4、 为了使用方便、美观,编辑程序简单合理。 5、 单片机的接口设置合理。 4.论文提纲1. 绪论 1) 本课题的意义、目的、研究范围及要达到的技术要求;2) 本课题在国内外的发展概况及存在的问题;3) 本课题的指导思想;阐述本课题应解决的主要问题。2.课题分析 1)设计依据 2)课题总体要求 3)设计要求 3.系统设计(硬件,软件) 1)系统具体分析 2)设计原理图 3)通过计算选择元器件4)绘制印制板图4.焊装、调试、检测5.结论6.参考文献
2023-08-27 13:18:081

倒立摆控制器设计是不是很难学

是。控制器有点难度,特别是数学建模过程,比较有难度,而且在完成课程设计的过程中,需要自己查阅一些课堂以外的东西。倒立摆控制器,使摆杆尽快达到平衡位置,且无大的振荡和过大的角度和速度的控制系统。
2023-08-27 13:18:161

每台应急照明控制器直接控制的设备总数不大于多少个?

消防应急照明和疏散指示系统的组成和选择非常重要,作为系统组成的四种类型,自带电源非集中控制型、自带电源集中控制型、集中电源非集中控制型和集中电源集中控制型,它们各有特点,适用的场所、引导疏散的效能各不相同,因此必须根据建筑物的规模、使用性质和人员疏散难度等因素来加以确定。一、系统选择系统的选择要遵循以下几个原则:1.专业性消防应急灯具在产品性能、可靠性和防护等级等方面都优于普通的民用灯具,能够在火灾条件下更加可靠地提供照明和疏散指示,因此在工程使用中不能用民用灯具替代消防应急灯具。消防应急照明和疏散指示标志系统产品的国家标准为GB 17945,《中华人民共和国消防法》第24条第2款规定:依法实行强制性产品认证的消防产品,由具有法定资质的认证机构按照国家标准、行业标准的强制性要求认证合格后,方可生产、销售、使用。实行强制性产品认证的消防产品目录,由国务院产品质量监督部门会同国务院公安部门制定并公布。目前,公安部消防产品合格评定中心是指定的消防产品质量认证机构,国家消防电子产品质量监督检验中心是指定的消防产品认证检验机构。2.节能绿色节能和环保是当今建筑设计的前提,因此在选择系统和选用消防应急灯具的时候应选择应用成熟、运行可靠、节能环保的产品。3.安全性疏散走道和楼梯间在火灾条件下,由于自动喷水灭火装置可能发生动作,为避免人身触电事故的发生,系统的供电电压应为安全电压。疏散走道、楼梯间和建筑空间高度不大于8m的场所,应选择应急供电电压为安全电压的消防应急灯具;采用非安全电压时,外露接线盒和消防应急灯具的防护等级应达到IP54的要求。国家标准《消防应急照明和疏散指示系统》GB17945 规定了室内地面使用和室外地面使用的消防应急灯具最低防护等级为IP54; 安装在室外地面的消防应急灯具最低防护等级为IP67;安装在地面的灯具应能耐受外界的机械冲击和研磨。二、系统设计要求火灾发生后,消防应急照明和疏散指示系统控制所有消防应急照明和标志灯具立即转入应急工作状态,帮助人员安全、迅速、有序地逃生,防止发生次生灾害。(一)一般要求1.应急转换时间在火灾突发的情况下,如正常照明关断,人们极易引起恐慌。对于人员比较熟悉环境的一般场所,在几秒钟之内即产生逃生的本能反应,此时照明中断可能引起较大混乱;对于人员密集场所,人员流动性大,人员特征和状态复杂,如商场、机场和车站等大型公共建筑,较长的地中断照明时间,必定会导致相互撞伤、踩伤等伤亡情况发生。因此,人员密集场所的应急转换时间不应大于1.5s,其它场所的应急转换时间不应大于5s。2.蓄电池组初装容量100m及以下建筑的初始放电时间不小于90min;100m以上建筑的初始放电时间不小于180min;避难层的初始放电时间不小于540 min。(二)供电设计1.平面疏散区域供电平面疏散区域供电由应急照明总配电柜的主电以树干式或放射式供电,并按防火分区设置应急照明配电箱.应急照明集中电源或应急照明分配电装置;非人员密集场所可在多个防火分区设置一个共用应急照明配电箱,但每个防火分区采用单独的应急照明供电回路;应急照明配电箱的主电源取自于本防火分区的备用照明配电箱;多个防火分区共用一个应急照明配电箱的主电源应取自应急电源干线或备用照明配电箱的供电侧。大于2000m2的防火分区单独设置应急照明配电箱或应急照明分配电装置;小于2000 m2的防火分区可采用专用应急照明回路;应急照明回路沿电缆管井垂直敷设时,公共建筑应急照明配电箱供电范围不宜超过8层,住宅建筑不宜超过16层;一个应急照明配电箱或应急照明分配电装置所带灯具覆盖的防火分区总面积不超过4000 m2,地铁隧道内不超过一个区段的1/2,道路交通隧道内不超过500m。应急照明集中电源和应急照明分配电装置在同一平面层时,应急照明电源采用放射式供电方式;二者不在同一平面层,且配电分支干线沿同一电缆管井敷设时,应急照明集中电源可采用放射式或树干式供电方式。商住楼的商业部分与居住部分应分开,并单独设置应急照明配电箱或应急照明集中电源。2.垂直疏散区域及其扩展区域的供电每个垂直疏散通道及其扩展区可按一个独立的防火分区考虑,并采用垂直配灯方式;建筑高度超过50m的每个垂直疏散通道及扩展区宜单独设置应急照明配电箱或应急照明分配电装置。3.避难层及航空疏散场所避难层及航空疏散场所的消防应急照明由变配电所放射式供电。4.消防工作区域及其疏散走道的供电消防控制室、高低压配电房、发电机房及蓄电池类自备电源室、消防水泵房、防烟及排烟机房、消防电梯机房、BAS控制中心机房、电话机房、通信机房、大型计算机房、安全防范控制中心机房等在发生火灾时有人值班的场所,应同时设置备用照明和疏散照明;楼层配电间(室)及其它火灾时无人值班的场所可不设备用照明和疏散照明;备用照明可采用普通灯具,并由双电源供电。5.灯具配电回路AC220V或DC216V灯具的供电回路工作电流不宜大于10A;安全电压灯具的供电回路工作电流不宜大于5A;每个应急供电回路所配接的灯具数量不宜超过64;应急照明集中电源经应急照明分配电装置配接消防应急灯具;应急照明集中电源.应急照明分配电装置及应急照明配电箱的输入及输出配电回路中不装设剩余电流动作脱扣保护装置。除高大空间场所,疏散区域内的应急工作电压均采用安全电压。6.应急照明配电箱及应急照明分配电装置的输出输出回路不超过8路;采用安全电压时的每个回路输出电流不大于5A;采用非安全电压时的每个回路输出电流不大于16A。(三)非集中控制型系统的设计1.系统的应急转换未设置火灾自动报警系统的场所,系统在正常照明中断后转入应急工作状态;设置火灾自动报警系统的场所,自带电源非集中控制型系统由火灾自动报警系统联动各应急照明配电箱实现工作状态的转换。集中电源非集中控制型系统由火灾自动报警系统联动各应急照明集中电源和应急照明分配电装置实现工作状态的转换。2.应急照明集中电源和分配电装置设计应急照明集中电源的控制装置设置在消防控制室内,未设置消防控制室的建筑,应急照明集中电源控制装置设置在有人员值班的场所。 集中设置蓄电池组的系统,应急照明集中电源能够手动控制消防应急照明分配电装置的工作状态。分散设置蓄电池组的系统,其控制装置能够手动控制各蓄电池组及转换装置的工作状态。(四)集中控制型系统的设计1.集中控制型系统的控制方式接收到火灾自动报警系统的火灾报警信号或联动控制信号后,应急照明控制器控制相应的消防应急灯具转入应急工作状态。自带电源集中控制型系统,由应急照明控制器控制系统内的应急照明配电箱和相应的消防应急灯具及其它附件实现工作状态转换。集中电源集中控制型系统,由应急照明控制器控制系统内应急照明集中电源、应急照明分配电装置和相应的消防应急灯具及其它附件实现工作状态转换。2.应急照明控制器设计系统内仅有一台应急照明控制器时,应急照明控制器设置于消防控制室或有人员值班的场所;系统内有多台应急照明控制器时,主控制器设置在消防控制室内,其他控制器可设置在配电间等场所内。每台应急照明控制器直接控制的应急照明集中电源、应急照明分配电装置、应急照明配电箱和消防应急灯具等设备总数不大于3200个。应急照明控制器的主电源由消防电源供电,应急照明控制器的备用电源至少使控制器在主电源中断后工作3h。
2023-08-27 13:18:393

DDR SDRAM控制器的软核设计

实现数据的高速大容量存储是数据采集系统中的一项关键技术。本设计采用Altera 公司Cyclone系列的FPGA 完成了对DDR SDRAM 的控制,以状态机来描述对DDR SDRAM 的各种时序操作,设计了DDR SDRAM 的数据与命令接口。用控制核来简化对DDR SDRAM 的操作,并采用自顶至下模块化的设计方法,将控制核嵌入到整个数据采集系统的控制模块中,完成了数据的高速采集、存储及上传。使用开发软件Quartus II 中内嵌的逻辑分析仪SignalTap II 对控制器的工作流程进行了验证和调试。最终采集到的数据波形表明,完成了对DDR SDRAM 的突发读写操作,达到了预期设计的目标。 DDR SDRAM 是Double Data Rate SDRAM 的缩写,即双倍速率同步动态随机存储器。 DDR 内存是在SDRAM 内存基础上发展而来的,能够在时钟的上升沿和下降沿各传输一次数据,可以在与SDRAM 相同的总线时钟频率下达到更高的数据传输率。本设计中采用Altera 公司Cyclone 系列型号为EP1C6Q240C8 的FPGA 实现控制器,以Hynix 公司生产的型号为HY5DU121622B(L)TP 的DDR SDRAM 为存储器,完成了对数据的高速大容量存储。
2023-08-27 13:18:471

IIS总线控制器设计问题

有限状态机:module fsm (Clock, Reset, A, F, G);input Clock, Reset, A;output F,G;reg F,G;reg [1:0] state ;parameter Idle = 2"b00, Start = 2"b01,Stop = 2"b10, Clear = 2"b11;always @(posedge Clock)if (!Reset)beginstate <= Idle; F<=0; G<=0;endelsecase (state)idle: beginif (A) beginstate <= Start;G<=0;Endelse state <= idle;endstart: if (!A) state <= Stop;else state <= start;Stop: beginif (A) beginstate <= Clear;F <= 1;endelse state <= Stop;endClear: beginif (!A) beginstate <=Idle;F<=0; G<=1;Endelse state <= Clear;endendcaseendmodule我们还可以用另一个Verilog HDL模型来表示同一个有限状态,见下例:[例2]:module fsm (Clock, Reset, A, F, G);input Clock, Reset, A;第七章 有限状态机和可综合风格的Verilog HDL----------------------------------------------------------------------------------------------------------------------116output F,G;reg F,G;reg [3:0] state ;parameter Idle = 4"b1000,Start = 4"b0100,Stop = 4"b0010,Clear = 4"b0001;always @(posedge clock)if (!Reset)beginstate <= Idle; F<=0; G<=0;endelsecase (state)Idle: beginif (A) beginstate <= Start;G<=0;endelse state <= Idle;endStart: if (!A) state <= Stop;else state <= Start;Stop: beginif (A) beginstate <= Clear;F <= 1;EndElse state <= Stop;endClear: beginif (!A) beginstate <=Idle;F<=0; G<=1;Endelse state <= Clear;enddefault: state <=Idle;endcaseendmodule宇宙飞船控制器的状态机module statmch1( launch_shuttle, land_shuttle, start_countdown,start_trip_meter, clk, all_systems_go,just_launched, is_landed, cnt, abort_mission);output launch_shuttle, land_shuttle, start_countdown,start_trip_meter;input clk, just_launched, is_landed, abort_mission,all_systems_go;input [3:0] cnt;reg launch_shuttle, land_shuttle, start_countdown,start_trip_meter;//设置独热码状态的参数parameter HOLD=5"h1, SEQUENCE=5"h2, LAUNCH=5"h4;parameter ON_MISSION=5"h8, LAND=5"h10;reg [4:0] present_state, next_state;always @(negedge clk or posedge abort_mission)begin/****把输出设置成某个缺省值,在下面的case语句中就不必再设置输出的缺省值*******/{launch_shuttle, land_shuttle, start_trip_meter, start_countdown} =4"b0;/*检查异步reset的值即abort_mission的值*/if(abort_mission)next_state=LAND;elsebegin // if-else-begin/*如果reset为零,把next_state赋值为present_state*/next_state = present_state;/*根据 present_state 和输入信号,设置 next_state和输出output*/case ( present_state )HOLD: if(all_systems_go)第七章 有限状态机和可综合风格的Verilog HDL----------------------------------------------------------------------------------------------------------------------121beginnext_state = SEQUENCE;start_countdown = 1;endSEQUENCE: if(cnt==0)next_state = LAUNCH;LAUNCH:beginnext_state = ON_MISSION;launch_shuttle = 1;endON_MISSION://取消使命前,一直留在使命状态if(just_launched)start_trip_meter = 1;LAND: if(is_landed)next_state = HOLD;else land_shuttle = 1;/*把缺省状态设置为"bx(无关)或某种已知状态,使其在做仿真时,在复位前就与实际情况相一致*/default: next_state = "bx;endcaseend // end of if-else/*把当前状态变量设置为下一状态,待下一有效时钟沿来到时当前状态变量已设置了正确的状态值*/present_state = next_state;end //end of alwaysendmodule
2023-08-27 13:19:081

如何根据系统的特性设计一个合理的pid控制器

俺提供制作过程文档。
2023-08-27 13:19:182

计算机硬件的五大基本构件包括运算器、存储器、输入设备、输出设备和什么啊

中央处理器cpu
2023-08-27 13:19:315

有人会设计模型预测控制器吗?Matlab里的,怎么对输入进行限制,具体的语句是怎么样的?

1、限制输入变量的个数,采用nargin,用法如下:function test(arg1,arg2,arg3)if nargin<3 error("There are at least 3 inputs");end2、判断某个变量是否为空,采用isempty,用法如下:function test(arg1,arg2,arg3)if isempty(arg3) error("The third input cannot be empty");end3、最常用的是上面两个的组合,用法如下:function test(arg1,arg2,arg3)if nargin<3 || isempty(arg3) error("Users should input the third parameter");end4、在进行完上述判断之后,还可以对输入的参数进行进一步的限制,包括对数据状态的限制,对矩阵数据大小的限制,对字符串模式的限制等等。对数据状态的限制,可以用到以下函数:isa,isappdata,iscell,iscellstr,ischar,iscom,isdir,isempty,isequal,isequalwithequalnans,isevent,isfield,isfinite,isfloat,isglobal,ishandle,ishold,isinf,isinteger,isinterface,isjava,iskeyword,islogical,ismember,ismethod,isnan,isnumeric,isobject,ispc,isprime,isprop,isreal,isscalar,issorted,isspace,issparse,isstrprop,isstruct,isstudent,isunix,isvalid,isvarname,isvector这些函数全部可以在help is*得到5、对矩阵大小的限制,可以利用size()函数先获取该矩阵的大小然后再进行判断6、对字符串模式的限制,可以采用strmatch()函数
2023-08-27 13:19:552

基于AT89C52和DS18B20的带LCD显示的温度控制器的设计

你好:四个按键,横着数,第一个调节时间时、分、秒,按三次来选择时、分、秒,第三个调节时、分、秒增,第四个调节时、分、秒减,第二个调节温度上下限按两次来选择温度上、下限,第三个调节温度上、下限增,第四个调节温度上、下限减。LED提示温度报警。当调节时间时,不会调节温度,当调节温度时不会调节时间。30分太少了,自己追加。追加后我上传DSN文件和程序。希望我的回答能帮助到你。
2023-08-27 13:20:051

试述可编程控制器控制系统设计的基本步骤.

系统设计的主要内容 ( 1 )拟定控制系统设计的技术条件。技术条件一般以设计任务书的形式来确定,它是整个设计的依据; ( 2 )选择电气传动形式和电动机、电磁阀等执行机构; ( 3 )选定 PLC 的型号; ( 4 )编制 PLC 的输入 / 输出分配表
2023-08-27 13:21:311

通用步进电机控制器设计(精通单片机汇编语言的朋友进)

实验七:8255A+8253+8259A <实验目的> 了解步进电机的的基本控制原理,掌握控制步进电机的转速、转向的程序设计方法。 <实验内容> 用8255A的PA0~3分别控制步进电机的A、B、C、D四相,“1”则该相绕组通电,“0”则不通电。步进电机的驱动原理是使各相绕组依次通电来使其作步进式旋转,通过通电顺序和切换频率来调节其转速和转向。步进电机可以四相四拍或四相八拍方式工作,即通电顺序为:A→B→C→D→A;A→AB→B→BC→C→CD→D→DA→A。按相反的顺序即可改变电机的旋转方向。本实验系统采用的是:AB→BC→CD→DA→AB。 注:电机在起动和停止时,不应该突然起、停。而应采用逐渐加速、减速的方式。至于起、停所需时间则依产品型号而定。 <实验电路> 8255A: PA0~3与步进电机的BA~BD相连; 8253: GATE0接+5v;CLK0接393分频器的T2插孔(4.9125/4MHz),或T3~6插孔(分别是8、16、32、64分频);CS接译码器单元的Y2;数据线D0~7与系统数据总线单元任一插座相连; 译码单元: 译码器输入A、B、C与系统地址线A2、A3、A4相连;译码器控制端G与系统地址线A0下方的G相连。 8259单元: CS端与译码器单元的Y0相连; D0~7与系统数据总线单元任一插座相连; 最后,将8253的OUT0与8259的IR7相连。<实验程序> CODE SEGMENT ASSUME CS:CODE,DS:CODE,ES:CODE ORG 3400H H8: JMP P8259 PORT0EQU 0FFE0H PORT1EQU 0FFE1H ;-------------------初始化------------------- P8259: CLI MOV AX,OFFSET INT8259 MOV BX,003CH MOV [BX],AX MOV BX,003EH MOV AX,0000H MOV [BX],AX CALL FOR8259 MOV SI,0000H I8255: MOV DX,0FFDBH MOV AL,8AH OUT DX,AL MOV BL,03 P8253: MOV DX,0FFD9H; IN AL,DX ;选择3种转速TEST AL,01HJNZ QQQ TEST AL,02H JNZ WWWMOV DX,0FFEBH ;转速0 MOV AL,34H OUT DX,AL MOV DX,0FFE8H MOV AL,00H OUT DX,AL MOV AL,096H OUT DX,AL JMP OVER1 QQQ: MOV DX,0FFEBH ;转速1 MOV AL,34H OUT DX,AL MOV DX,0FFE8H MOV AL,00H OUT DX,AL MOV AL,010H OUT DX,AL JMP OVER1 WWW: MOV DX,0FFEBH ;转速2 MOV AL,34H OUT DX,AL MOV DX,0FFE8H MOV AL,00H OUT DX,AL MOV AL,0F0H OUT DX,AL OVER1:STI;中断子程序------------------------------------ INT8259:CLI MOV DX,0FFD8H MOV SI,0FFD9H//通过PB7控制正反转 IN AL,SI AND AL,80H JZ X59 XX59:MOV AL,BL OUT DX,AL SHL BL,1 CMP BL,18H JZ A1 CMP BL,12H JNZ C1 MOV BL,03H JMP C1 A1: MOV BL,09H C1: MOV AL,20H MOV DX,PORT0 OUT DX,AL STI IRET X59: MOV AL,BL OUT DX,AL SHR BL,1 CMP BL,81H JZ A2 CMP BL,88H JNZ C2 MOV BL,0CH JMP C2 A2: MOV BL,09H C2: MOV AL,20H MOV DX,PORT0 OUT DX,AL STI IRET;8259A初始化============================== FOR8259:MOV AL,13H MOV DX,PORT0 OUT DX,AL MOV AL,08H MOV DX,PORT1 OUT DX,AL MOV AL,09H OUT DX,AL MOV AL,7FH ;IRQ7 OUT DX,AL RET CODE ENDS END H8 <思考与练习> 1. 计算出步进电机走一步旋转的角度,能否精确的回到原点。 答:18度,能。 2.如何控制步进电机的起、停? 答:用8253的GATE0门控制,高电平时起,低电平时停。 3.如何控制步进电机的转速和转向? 答:进入中断,通过8355A的PB7输入来控制正反转向;
2023-08-27 13:21:411

可编程序逻辑控制器的一直保持了其最初设计的原则,那就是()的原则A兼容性好B?

可编程序逻辑控制器(PLC)的最初设计原则是“可靠性”的原则,而不是“兼容性好”这个说法。PLC作为一种广泛应用于工业自动化领域的电子设备,其设计目标是为了提供高度可靠的自动化控制和数据处理功能。因此,可编程逻辑控制器在设计时注重以下几个方面:1. 可靠性:PLC在设计时需要极力保证系统运行的稳定性和可靠性。它必须能够在恶劣环境下运行,并且具有防护、防尘、防湿等性能。2. 稳定性:PLC要求运行环境的稳定,所以在设计时需要考虑电源干扰、接口稳定性、抗干扰等问题。3. 灵活性:PLC需要灵活地适应多种控制模式和变化的工厂生产流程,在设计时需要注重软件和硬件的可升级性。4. 易用性:PLC的使用者通常是非专业人士,因此PLC在设计时需要着重考虑操作简单易学、界面友好、故障诊断等问题。综上所述,PLC的设计原则主要是围绕“可靠性”展开的,其目标是为了提供高度稳定和可靠的自动化控制功能。
2023-08-27 13:21:501

设计一个计数控制器,要求如下

从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没又电机给我们首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行
2023-08-27 13:22:002

控制器有哪几部分组成?

控制器由程序计数器、指令寄存器、指令译码器、时序产生器和操作控制器组成。控制器分组合逻辑控制器和微程序控制器,两种控制器各有长处和短处。组合逻辑控制器设计麻烦,结构复杂,一旦设计完成,就不能再修改或扩充,但它的速度快。微程序控制器设计方便,结构简单,修改或扩充都方便,修改一条机器指令的功能,只需重编所对应的微程序;要增加一条机器指令,只需在控制存储器中增加一段微程序,但是,它是通过执行一段微程。具体对比如下:组合逻辑控制器又称硬布线控制器,由逻辑电路构成,完全靠硬件来实现指令的功能。扩展资料控制器的分类有很多,比如LED 控制器、微程序控制器、门禁控制器、电动汽车控制器、母联控制器、自动转换开关控制器、单芯片微控制器等。1、控制器(LED controller):通过芯片处理控制LED灯电路中的各个位置的开关。控制器根据预先设定好的程序再控制驱动电路使LED阵列有规律地发光,从而显示出文字或图形。2、微程序控制器:微程序控制器同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被广泛地应用。在计算机系统中,微程序设计技术是利用软件方法来设计硬件的一门技术。3、门禁控制器:又称出入管理控制系统(Access Control System) ,它是在传统的门锁基础上发展而来的。门禁控制器就是 系统的核心,利用现代的计算机技术和各种识别技术的结合,体现-种智能化的管理手段。4、电动汽车控制器:电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。
2023-08-27 13:22:091

在控制器中设计中,增加积分环节会产生什么样的影响?

控制器中增加积分环节会将控制误差进行积累,并反馈到控制器的让输入端,让控制器对输出进行调节。和线性控制器比起来,增加了积分环节使得控制器能够控制得更精准,因为误差的积累相当于把输出误差放大了,让控制器感受得更清楚。具体你可以搜索“PID控制器“。good luck!
2023-08-27 13:22:351

基于FPGA的汽车尾灯控制器的设计

车子改维修勒
2023-08-27 13:22:464

工程车辆控制器外形设计考虑哪些

1、制造曲面是否能够加工出来、如何分割曲面、造型是否可以满足功能需求2、人机工程视野、空间、心理影响,信息交互与信息获取是否通畅3、空动风阻与下压力4、行驶性能要求离地间隙、接近角与离去角、通过半径等5、美学因素是否符合造型语义是否契合车辆的精神主题6、安全要求是否符合道路
2023-08-27 13:22:541

可编程控制器(PLC)程序设计师的从事的主要工作内容

(1)进行PLC应用系统的总体设计和PLC的配置设计;(2)选择 PLC模块和确定相关产品的技术规格;(3)进行PLC编程和设置;(4)进行外围设备参数设定及配套程序设计;(5)进行控制系统的设计、整体集成、调试与维护。
2023-08-27 13:23:421

汽车尾灯控制器的电路设计

首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。由表1得总体框图如图所示图1汽车尾灯控制电路原理框图表1汽车尾灯控制逻辑功能表开关控制S1 S0三进制计数器Q1 Q0六个指示灯D6 D5 D4 D1 D2 D30 00 0 0 0 0 00 10 00 11 00 0 0 1 0 00 0 0 0 1 00 0 0 0 0 11 00 00 11 00 0 1 0 0 00 1 0 0 0 01 0 0 0 0 01 1CP CP CP CP CP CP3.2 单元电路设计与分析3.2.1 开关控制电路的设计设74LSl38和显示驱动电路的使能端信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、CP)的真值表,如表2所示。开关控制S1 S0CP使能信号G A0 00 10 11 11 01 11 1CP0 CP表2 S1、S0、CP与 G、A逻辑功能真值表由表6—3经过整理得逻辑表达式, , 由上式得开关控制电路,如图2所示图2 开关控制电路3.2.2 三进制计数器电路的设计三进制计数器电路可根据表1由双J—K触发器74LS76构成,此电路结构简单,成本较低,选用此方案。电路图如图3所示图3 三进制计数器 3.2.3译码与显示驱动电路的设计译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138(其功能表如表3.3所示)、6个与非门和6个反相器构成,逻辑电路如图3.10中的(Ⅰ)所示。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。3.3.4 尾灯电路的设计尾灯显示驱动电路由6个发光二极管和6各电阻构成,反相器G1—G3的输出端也依次为0,指示灯D1→D2→D3按顺序点亮,示意汽车右转弯;反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。3.3.5 秒脉冲电路的设计由555定时器构成的多谐振荡器;如图4为多谐震荡器的电路,由于555定时器内部的比较器灵敏度搞,输出驱动电流大,功能灵活,又频率受电压和温度影响很小。即此多谐振荡器的震荡频率稳定。图4 脉冲产生电路 3.3电路的安装与调试其工作原理图如图5所示,经过以上所述的设计内容及要求的分析,可以图5汽车尾灯控制器电路原理图图5 电路原理图首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。最后,左转、右转的原始信号通过6个与非门,6个非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。4 心得体会及建议(四号,黑体)4.1 心得体会(小四号宋体,加粗)本次课程设计是我目前收获最大的一次课程设计。我是工学专业的学生,设计是我们将来必需的技能,这次课程设计恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的仿真再到最后电路的成型,都对我所学的知识进行了检验。可以说,本次课程设计有苦也有甜。 设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。 制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调快等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。 要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。 留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚韧的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,如CP脉冲的供给通断等。在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在老师的耐心指导下,使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。 总体来说,这次实习我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。4.2 建议对于学生来说:做课程设计还是独立完成好。在做设计之前一定要搞清楚各个元件的特征与性质,做设计时就可以对号入座。而且做设计时要明白“要什么,怎么样”这样就不会盲目了,对于设计时会出现的各个错误现象,一定要仔细检查线路,不要盲目的就认为元器件的问题,或是人为其他的问题。做课程设计最主要的是锻炼实践能力,所以要注重独立思考怎样设计,而不是照搬照套。对于指导老师来说:安排实验时能否在充足的时间安排,还可以有选择的选题,不要把题目限定得太死,充分激发学生兴趣爱好,才能更激情的深刻地做好课程设计。5 附录元器件明细表 元件名称规格数量电阻100Ω 500Ω82电容100μF/25V2发光二极管LED(共阴)6555定时器NE5551译码器74LS1381开关2其他各集成元件 74LS0074LS0474LS10 74LS76 74LS86111116参考文献
2023-08-27 13:23:591

symap控制器怎么设置

symap控制器在控制面板进行设置。控制器(英文名称:controller)是指按照预定顺序改变主电路或控制电路的接线和改变电路中电阻值来控制电动机的启动、调速、制动和反向的主令装置。由程序计数器、指令寄存器、指令译码器、时序产生器和操作控制器组成,它是发布命令的决策机构,即完成协调和指挥整个计算机系统的操作。控制器分组合逻辑控制器和微程序控制器,两种控制器各有长处和短处。组合逻辑控制器设计麻烦,结构复杂,一旦设计完成,就不能再修改或扩充,但它的速度快。微程序控制器设计方便,结构简单,修改或扩充都方便,修改一条机器指令的功能,只需重编所对应的微程序;要增加一条机器指令,只需在控制存储器中增加一段微程序,但是,它是通过执行一段微程。具体对比如下:组合逻辑控制器又称硬布线控制器,由逻辑电路构成,完全靠硬件来实现指令的功能。
2023-08-27 13:24:081

十字路口交通灯控制器的VHDL设计

我有,跟你这个除了显示时间不同外其他都一样了,是自己做的,你要是想看的话我直接发你邮箱里,有点长,我邮箱是252301479@qq.com
2023-08-27 13:24:182

数字电路设计交通灯控制器,

我们看到,这是显示的要求是40进制,4进制,20进制。且轮换进行。很容易想到的就是使用16进制计数器。设计方法是:第一个40进制选取两个16进制计数器,第一个计数器的进位输出接入第二个计数器的ENT端,然后再在第二个计数器的输出端接入3-8译码器,我们知道1对应的二进制是00001,40对应二进制是101000。于是在3-8译码器输入端接入前三位,将3-8译码器的第5个输出端接到第一个计数器的LOAD端,然后第一个计数器置数端输入是0001。然后我们就可以发现这两个计数器构成了由1~40的二进制计数器。同理我们对黄灯用一个16进制计数器设计成1~4的二进制计数器。同理对南北绿灯用两个16进制计数器设计成1~20的二进制计数器。然后我们理下思路,要产生你提出的效果,我们接下来要将这些计数器形成循环显示。具体就是:1~40二进制计数器(即东西绿灯)当到达40时,进位信号触发后一个1~4二进制计数器(即黄灯),同时这个信号阻断1~40二进制计数器继续计数。之后,当1~4二进制计数器达到4时,进位信号触发1~20二进制计数器(即南北绿灯),同时这个信号阻断1~4二进制计数器继续计数。之后同理,1~20到达20后,触发后一个1~4,1~4到达4后,再触发1~40。于是我们很清晰的看到了这样一个循环,当东西绿灯40秒到后自动停止转到黄灯,到达4秒后自动停止转到南北绿灯。20秒后自动停止转到黄灯。4秒后自动停止并转到东西绿灯....然后一直这么循环,完成所要效果。好,那我们接下来要做的就是怎么联系前后两个计数器。在此仅列举1~40如何转到1~4,之后的1~4转到1~20转到1~4再转到1~40都是一个道理,不再赘述。如前所述,第一个1~40计数器最终是在3-8译码器的5号输出端返回到第一级的LOAD形成重新的置1从而达到1~40计数的要求的。那么我们如果将这个端口5输出的信号同时接入第一级的ENP端口,那么就行成了一旦达到40,1~40置位回到1,并且由于ENP端口出现0信号,使得整个1~40计数器达到40时重新置1并停止计数。然后5输出的信号取非后可以用于触发后一级的ENT,触发后一级1~4计数器。然后一样的做法,当1~4达到4时,阻断自己继续计数并将信号触发再后一级的1~20计数器...一次类推就达到了你要的结果。至于显示可以用7段显示器,这个较为简单,列个表画一个卡诺圈就出来了。
2023-08-27 13:24:281

控制器有哪几种控制方式 各有何特点

1、反馈控制方式:是控制系统的主要控制方式。自动控制原理的主要研究对象是反馈控制系统。优点:能够抑制任何内外扰动对被控量的影响,控制精度高;缺点:结构复杂,可能出现系统不稳定问题,系统分析和设计较困难。2、开环控制方式:信号从系统输入端顺向流到系统输出端,输出量的6变化不会对控制作用产生影响。该控制方式也称为顺馈控制方式。开环控制方式的控制器必须针对作用信号类别和类型设计。优点:结构简单,系统分析和设计较简便。缺点:不能补偿其他因素对系统输出的影响。主要分类控制器分组合逻辑控制器和微程序控制器,两种控制器各有长处和短处。组合逻辑控制器设计麻烦,结构复杂,一旦设计完成,就不能再修改或扩充,但它的速度快。微程序控制器设计方便,结构简单,修改或扩充都方便,修改一条机器指令的功能,只需重编所对应的微程序;要增加一条机器指令,只需在控制存储器中增加一段微程序,但是,它是通过执行一段微程。具体对比如下:组合逻辑控制器又称硬布线控制器,由逻辑电路构成,完全靠硬件来实现指令的功能。以上内容参考:百度百科-控制器
2023-08-27 13:24:391

毕业设计:基于单片机的污水处理系统模糊控制器的设计,希望高手帮助

呵呵,看这几个要求就不容易。模糊控制系统和MATLAB仿真也不是那么简单,估计你不花钱是没人帮你了。
2023-08-27 13:25:042

利用最优控制设计控制器的优缺点

优点是能够优化设计和管理;缺点是方法不严密,大量地依靠试探法。最优控制是最优化方法的一个应用,最优化一般可以分为最优设计,最优计划,最优管理和最优控制四个方面。最优控制也是一个受控的动力学系统或运动过程,从一类允许的控制方案中找出一个最优的控制方案,使系统的运动在由某个初始状态转移到指定的目标状态的同时,其性能指标值为最优。最优控制研究的主要问题是:在满足一定约束条件下,寻求最优控制策略,使得性能指标取极大值或极小值。
2023-08-27 13:25:241

基于单片机的温度控制器的毕业论文

ds18b20@sina.cn 已把我毕业论文的一部分发给你了,应该是你想要的。还需要其它的说一声
2023-08-27 13:25:353

交通灯电路图

  本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。 关键词:EDA;VHDL;控制器;CPLD  引言  EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。  实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。  交通灯系统控制器设计要求  路口交通灯控制系统与其他控制系统一样,划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。  按照路口交通运行的实际情况,在本系统中,设定系统的工作情况如下。  路口交通灯控制系统的东西路有交通灯R(红)、Y(黄)、G(绿);东西人行安全通道灯:RXR(红)、RXG(绿)。南北路有交通灯:r1(红)、y1(黄)、g1(绿);南北人行安全通道灯:rxr1(红)、rxg1(绿),所有灯均为高电平点亮。设置15s的通行时间和5s转换时间的变模定时电路,由预置输入整数cnt决定是模15还是模5,输入逻辑cx是用来决定计数到4时清零还是到14时清零。Clk是外部提供的基准秒脉冲信号。x0、x1、x2、x3是由控制器输出的表示计数时间的四位二进制数。图1是该系统控制器的符号框图。  控制器的程序设计  * 控制器的ASM图  根据系统设计要求,得到控制器的ASM图,如图2所示。在这里,所有输入信号均为高电平有效。该ASM图反映了交通灯系统的不同状态的转换过程及持续时间。  * 控制器的VHDL程序设计  根据所分析的系统的ASM图,结合系统的设计要求,用VHDL语言对各个模块进行编程,最后形成顶层文件,在MAX+PLUSⅡ环境下进行编译与仿真,检查所编程序是否运行正确。如果出现错误,需要进行修改,直到完全通过为止。需要说明的是,在进行程序编译时,要先从底层程序开始,所有底层程序都正确后,才能开始顶层程序的编译。这是因为顶层程序是对底层程序的概括,它是把底层程序各个模块连接起来,就相当于把每个模块的功能汇聚到一起,实现整个系统的控制功能,所以底层程序的正确与否,关系到顶层程序的运行结果。  在控制器的程序设计中,在定义结构体时,有两种程序设计方法均可以通过编译及仿真,但在进行时序分析时结果却不同。  (1)如果这样定义:  ...  ARCHITECTURE con1_arc of con1 IS  SIGNAL current_state:state;  BEGIN  ...  在进行程序调试时,均通过了编译及仿真,但在进行时序分析中,却出现了不按设定的计数顺序工作的结果:14, 13, 2,1, 0...。经过反复修改调试,对程序进行了修改,如(2)所定义的。  (2)  ARCHITECYTURE con1_arc OF con1 IS  SIGNAL current_state:state;  SIGNAL TEMP_STATE:state;  ...  TEMP STATE<=current_state;  BEGIN  ...  在这种设计方法中,多定义了一个信号变量,从而使得程序能按设定的状态14,13,12...进行转换。通过这个实例,可以看出EDA技术作为电子设计工具的功能修改及调试的方便快捷,即不需要硬件电路的支持就可以找到问题所在并进行修改,体现了它的优越性。  硬件电路实现  根据交通灯系统的控制要求,图3所示为本系统的硬件电路图。该电路包含了1个CPLD芯片,2个七段LED数码显示器,20个分别表示各个方向上的红、黄、绿灯,以及相应的限流电阻。这个电路与其他控制方法相比,所用器件可以说是比较简单经济的。经过实验,实现了预定的交通灯系统的控制功能。
2023-08-27 13:25:521

计算机控制器的电路包括什么电路?

主要是cpu,负责管理硬件资源。另外北桥芯片之类的也有控制功能,但不是主要的。
2023-08-27 13:26:022

飞控计算机离散量设计方法

飞控计算机离散量设计方法如下:1、系统建模:根据飞行器的动力学、控制、传感等特性,建立数学模型,确定系统的状态方程和输出方程。2、离散化:将连续时间系统转化为离散时间系统,通常采用欧拉法或者龙格-库塔法等数值积分方法。3、控制器设计:根据系统模型,采用控制理论设计控制器,通常包括比例积分微分(PID)控制器、线性二次调节(LQR)控制器、模型预测控制(MPC)等。4、离散化控制器:将设计好的控制器离散化,得到数字控制器。5、实现:将数字控制器实现在飞控计算机上,通常采用现场可编程门阵列(FPGA)实现。6、系统仿真:通过仿真验证设计的控制器是否能够满足要求,并进行调试和优化。以上是飞控计算机离散量设计方法,具体的设计方法和步骤可能因应用场景和系统要求而有所不同。
2023-08-27 13:26:271